二、11【FPGA】时序逻辑电路

您所在的位置:网站首页 fpga计数器设计思路图解大全 二、11【FPGA】时序逻辑电路

二、11【FPGA】时序逻辑电路

2024-07-07 23:51| 来源: 网络整理| 查看: 265

前言

学习说明此文档为本人的学习笔记,注重实践,关于理论部分会给出相应的学习链接。

学习视频:是根据野火FPGA视频教程——第十三讲 https://www.bilibili.com/video/BV1nQ4y1Z7zN?p=3

理论学习

计数器在数字系统中主要是对脉冲的个数进行技术,实现测量、计数、控制及分频功能。控制器中的指令地址,运算器做乘法、除法。

基础知识请参考本人《数字电路技术基础》计数器部分:

《数字电子技术基础》6.3 时序逻辑电路——寄存器、计数器及脉冲发生器功能介绍_追逐者-桥的博客-CSDN博客寄存器和移位寄存器、计数器功能介绍https://blog.csdn.net/ARM_qiao/article/details/124415549

实战演练 一、设计规划 1.1 实验目标

让计数器计数1s时间间隔,来实现LED灯每隔1s闪烁一次

1.2 硬件资源

二、程序设计 2.1 模块框图及波形图  

2.2 代码编写 // // Company: 追逐者——桥的小作坊 // Create Date: 2022/05/05 20:35:58 // Module Name: counter // Tool Versions: Vivado 2018_3 // Description: // Revision 0.01 - File Created // Additional Comments:FPGA学习代码 // module counter #( parameter COUNT_MAX = 25'd24_999_999 //作为模块的一个参数,再实例化时可修改 ) ( output reg led_out , input wire sys_clk, sys_rst_n ); // parameter COUNT = 25'd24_999_999; //可用于模块名后,再实例化时可修改 // localparam COUNT = 25'd24_999_999; //只能用于模块内部使用 reg [24:0] count; always @(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1'b0) count


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3