利用FPGA实现出租车计费系统

您所在的位置:网站首页 fpga计时 利用FPGA实现出租车计费系统

利用FPGA实现出租车计费系统

2024-01-16 23:22| 来源: 网络整理| 查看: 265

本文是通过Verilog语言,通过Quartus II平台和FPGA开发板实现的一款出租车计费系统的设计。全文对于EDA技术以及Verilog硬件描述语言的概念以及相关特点进行了介绍与论述。对于该计费系统的实现方式以及不同模块功能的设计也进行了详细具体的介绍。设计过程中考虑了出租车行驶过程中的起步价、里程单价、等候时间等因素来计算总费用。同时,通过设计也能够实现对于计费系统的起步价,单价的设置。此外,在设计过程中,考虑到了出租车在实际的行驶过程中可能遇到的的暂停等待和行驶的不同状态,具有比较强的实用性。完成设计之后首先在Quartus II平台上完成仿真测试,并在最终能够在FPGA开发板上面完成功能验证。

系统设计与实现 系统硬件设计

整个出租车计费器主要分为分频模块、消抖模块、控制模块、计费模块、数码管驱动显示模块、计数器测脉冲模块。 系统开机时数码管显示的全是0,当按下模式切换按键时,控制模块在调节起步价、单价中进行设置起步价、单价。于是切换模式为显示行程、时间。按下开始,先按行程计费,3km以内按照起步价计费,3km以上,按照1元/km的方式计费。如果选择按照时间等候计费,如果时间在2分钟以内不收取费用,之后每超1分钟收取1元的方式计费。 当按键切换到显示速度的模式下,数码管显示当前的车速,由于车速是通过类似于霍尔传感器等将速度转化为脉冲信号,因此仅需要个这个测量速度的模块一个1Hz的门限信号,在这1hz的情况下让计数器计数,于是数码管显示的速度为转速。测速度的模块相当于测量外来信号的频率。从设计要求中可以得出出租车计费器的系统整体框图如图1所示。 在这里插入图片描述

数码管显示电路

显示电路采用系统板上的数码管,该数码管为共阳极数码管,数码管的位选接三极管的发射极,基极接引脚,集电极接高电平,通过给基极低电平,控制三极管的导通,于是集电极电流流入发射极,当哪一个数码管的位选打开,哪一个数码管才能亮。数码管的段选也接FPGA的引脚,通过给相应的段码低电平,就会控制数码管亮什么数字。其硬件连接图如图3-4所示。 在这里插入图片描述 图3-4 数码管硬件连接图

按键电路

按键是控制数码管切换显示以及是否是距离计费还是按照时间计费。按键的靠近引脚的一段接上拉电阻,默认为高电平,按键另一端接低电平,按下则导通为低电平。其硬件连接图如图3-5所示。 在这里插入图片描述 5 按键硬件连接图

LED指示灯与蜂鸣器报警超速电路

当行程计费与时间计费切换时,需要进行指示灯的显示,当行程计费是指示灯常亮,当速度超过一定转速的时候,蜂鸣器会按照一定频率报警提示。其电路连接图如图3-6和3-7所示。 在这里插入图片描述 3-6 显示灯硬件图 3-7 蜂鸣器硬件图

系统软件设计 分频模块

按键消抖模块、1hz信号、数码管驱动显示模块、等待计数等模块都需要相应的频率,因此需要对系统时钟50Mhz分频,消抖模块采用的是1000hz信号,数码管驱动显示模块采用的是500hz信号,等待计数等模块用的是1hz信号。Clk为系统时钟输入端,fs是分频系数,cko是分频得到的频率,也即是说,给fs的数值是多少,输出的频率就是多大。比如fs设置为1000,则输出的cko频率就是1000hz。根据要求分频模块如图3-8所示。 在这里插入图片描述

按键消抖模块

之所以为按键消抖,是因为往往在按键按下的时候不能立刻达到键稳定的状态,因为按键的机械特性,要经历接触-断开-再接触-再断开的过程,最终要稳定在接触位置,也就是说按键虽然只按下一次之后再放掉,在按键稳定前后,会出现不该有的噪声,如图3-9所示。这样会引起电路的误动作。因此消抖是十分必要的。一般软件消抖皆为延迟消抖,在FPGA中也不例外,一般抖动期为5-10ms。 在这里插入图片描述 图3-9 按键抖动

由于分频模块分出1000hz的频率,所以消抖所采用的的频率即为1000hz信号,将按下的按键作为输入,clk选择系统时钟分频得到的1000hz信号,在模块中进行判断,当按键按下时,在1000hz的信号下cnt加1,直到cnt加到100时再次判断输入按键的状态如果仍为0则按键确实按下,于是输出按键按下。这里延时的时间为100×0.001s=10ms。Clk为分频得到时钟,key_in为输入按键值,key_out为消抖输出的按键值。根据要求按键消抖模块如图3-10所示。 在这里插入图片描述

数据选择模块

按键选择模式得到一个标志位,如module_Flag、SPEED_Flag、fee_Flag、price_Flag四个模式,当按键按下一次state加1,然后以此控制标志位,当相应的标志位为1时,数据选择模块中,就将相应模式下的数据给输出段,来控制数码管显示数据。Clk为系统时钟输入,distance[7:0]为行程的两个数据,S[7:0]为秒的两个数据,m[7:0]为分钟的两个数据,q0-q7是显示转速的八个数据,fee[7:0]为费用的两个数据。S_fee和price为设置模式下,显示的设置费用和单价。DH、DL、MH、ML、SH、SL、FH、FL分别对应八个数据送到数码管驱动电路中,驱动显示。根据要求设置的数据选择模块如图3-11所示。 在这里插入图片描述 在这里插入图片描述

数码管显示模块

将数据选择模块中输出的8个数据送到数码管显示块中,通过3-8译码器选通位选,然后控制数码管亮什么数字。 3-8译码器的的设计是通过软件实现的,定义3位的scan状态,在500hz上升沿信号下,每来一次上升沿,scan加1,然后通过case语句实现选通位选,在将段码通过assign语句实现。根据要求其数码管显示模块如图3-12所示。 在这里插入图片描述

速度测量模块

速度测量模块又1hz门限信号、计数器、锁存器组成。 当外围电路,诸如霍尔传感器将车轮的转速转化为脉冲信号之后,可以将转化之后的脉冲信号直接给FPGA的引脚。系统十分分频得到1hz的信号。并且在所存模块中完成赋值所存数据。在1hz下标志位timer在上升沿到来时取反,于是timer是一个1s的门限信号,在1s的门限信号下测量输入的脉冲数据得到脉冲的个数,根据外围电路设计计算出车的转速,转而在计算速度。由于条件有限仅仅只是仿真模拟,并没有真正去检测。 所采取的测量脉冲是FPGA的简易频率计,由于外围电路能够将转速转化为脉冲,通过测量脉冲的频率即可得到转速。 所采取的的所存数据是锁存器,这样做的好处是为了能够将1s测得的数据进行保存,以免丢失。 Clk_in为外围电路输入脉冲信号,timer是1s的门限信号,当timer由1到0发生变化,q将清零,重新一轮计数。这样能够保证每一秒都能够测得新的数据。Q为测得的数据并传送给count_cnt模块,这个锁存器的模块时钟选用的是50Mhz系统分频得到的1Hz 的信号,q0-q7为所存的数据输出给数据选择器。Beep_flag是让蜂鸣器响的标志。当测得脉冲超过一定值时,蜂鸣器会报警。根据要求其测量速度模块如图3-13所示。 在这里插入图片描述 在这里插入图片描述 在这里插入图片描述

里程计费模块

由于硬件实物的板子内存有限,乘除运算会占用大量内存,因此本设计假设车速为20m/s,于是50s是1km,在3km之内是起步价,当公里数超过3km之后,按照1km收取1元的计算。 本模块中cnt在1hz的触发下计数,1hz加一次,当加满50次时,distance加1,实现行程加1km,行程超过3km以上,1km收取1元。 Clk为1hz信号,flag是选择按里程计费模式,reset为复位,module_flag是选择数码管显示里程模块,distance是输出的形成,distance_enable是一公里到达的标志。根据要求其里程计费模块如图3-14所示。

在这里插入图片描述 在这里插入图片描述

等候时间计费模块

由于出租车在行驶的过程中,会出现等候乘客、以及等候红绿灯的情况,这种情况仍然需要成本,因此本设计采用的是在2分钟之内不收取任何费用,当时间达到2分钟以上,按超一分钟收1元的方式计费。 Clk为输入1hz信号,reset为复位按键,flag为选择等候时间计费模式,s为秒的两个数据,m为分的两个数据,time_enable为时间到达1分钟的标志。根据要求其等候时间计费模块如3-15所示。 在这里插入图片描述 在这里插入图片描述

按键切换模式模块

刚开机时,系统保持默认的0状态,这个状态下没有任何操作,当按下按键key时,此模块的中间变量state实现加1,在state=1的状态下,调节起步价的标志位会置1,这种模式下可以在起步价和单价设置的模块中进行调节。再次按按键key,state会变为2,这种状态下price_Flag为1,这时可以进行单价的设置。当按第三下按键时,状态切换至行程、时间、计价显示模块。第四次按键则会切换至转速显示。 Key为消抖之后的按键,clk为系统时钟,module_Flag为切换至行程、时间、计价显示的标志,SPEED_Flag为显示速度的模式。Price_Flag和fee_Flag为调节起步价和单价的标志位。根据要求按键切换模式模块如图3-16所示。

在这里插入图片描述 在这里插入图片描述

等候时间与行程计费切换

在这个模块中,是等候时间与行程计费进行互换,当在等候时间模块中,行程会保持不变,价格随着时间的增加而变化。当在行程计费的模块中时,等候时间不会变化,行程超过3公里以上按照相应的规定收费。 本模块的使用完全是在按键切换模式模块调到行程、时间、计价模块下才能起作用。当按键切换至行程、时间、计价模块时,默认为时间计费,当按下按键之后,flag置1,如果不按下按键,flag为0,按下之后切换至里程计费模式,时间停止不动,再次按下按键时,切换至时间计费。因此start是里程计费和时间计费的切换。 Start是另一个按键消抖之后的键值,clk为系统时钟,reset为复位,module_Flag为行程、时间、计价显示模块的标志,flag是切换里程计费和等候时间计费的标志。根据设计其等候时间与行程计费切换模块如图3-17所示。 在这里插入图片描述 在这里插入图片描述

起步价与单价的设置

起步价与单价的设置,是必须在调节起步价标志位为1或者调节单价标志位为1的情况下才能使用。 在此模块中,按下数值的加键,会改变单价与起步价,当调节单价的标志位为1,就可以调节单价,当调节起步价的标志位为1,就可以调节起步价。 Fee_Flag和price_flag为起步价和单价设置的标志位,set为价格加的按键,reset为复位,clk为系统时钟。Fee为调整好的输出按键值,price为调整好的单价输出,fee为调节好的起步价输出。根据要求其起步价与单价设置的模块如图3-18所示。 在这里插入图片描述

计费模块

将设置好的起步价、单价传到计费模块中,以此为基础计费。 Select_clk为数据选通器也就是说,在控制价格加1的模块中运用assign语句,用作数据选通器。当flag为1时,select_clk选择distance_enable,也就是说当数据选则distance_enable时,距离加1公里就计费,当数据选通器选择time_enable时,就是等候时间计费。 fee为最后输出的价格,传输到数据切换数据选择模块中。根据设计其计费总体模块如图3-19所示。 在这里插入图片描述 在这里插入图片描述

系统的测试 系统的硬件调试

开机时,FPGA系统板状态显示全0,如图4-6所示。于是按下按键到调起步价模式,设置起步价为5元,如图4-7所示。 在这里插入图片描述 图4-6 开机状态 图4-7 设置起步价模式 再次按下按键调单价,设置单价为1元/km,如图4-8所示。于是切换到里程、时间、计价模块显示,并按下reset开始,此时是按时间收费,此时显示的是起步价5元,中间四位显示的是等待时间,由于一开始的状态是时间计费,有时间走动。所以显示为1s。于是按下start切换路程计费模式,,此时初始状态如图4-9所示 在这里插入图片描述 当50s时间一过时,路程加1公里,此时数码管显示为行程记1km,而价格没有变化,因为还在三公里以内。如图4-10所示。当行程变化为2公里时,总价还是为5元,知道行程变为4公里,总价加1元。如图4-11所示,达到5公里价格也加1,如图4-12所示。 在这里插入图片描述 当计费模式切换至等候时间计费模式下,这时发现,当等候时间比2分钟大的时候,才会收费,并且按照1分钟一块钱的方式收费。如图3-13所示。在时间计费模式下,当时间到达4分钟以上时,费用达到10元,于是切换至行程计费,此时路程继续前行,路程达到6公里时,价格又加1元。如图4-14所示。 在这里插入图片描述

总结 问题与解决办法

本设计基本达到要求,经过仿真测试与硬件调试,都能够取得良好的效果。但是在设计过程中仍旧有不小的麻烦。 第一步设计方案时,要设计好系统框图,这是FPGA设计的必要条件,但是对于我而言一个人设计这样一个复杂的系统,是十分困难的,而且FPGA Verilog HDL语言不同于C语言,这又是本次设计的一大难点。 第二步进行代码编写的时候,由于采用的是顶层模块的编写,模块的输入输出的对应关系也是一大难点,显示生活中的记程可以达到几百公里,而本设计的公里数仅仅只能达到99公里,这是本设计的一大缺陷,为了使得本设计能够真正的投入使用,毕业设计并不是一个终点,因此在今后还需找机会进行完善设计。 在采用何种方式测量汽车的转速是本设计的另一大难点,于是联想到霍尔传感器可以将轮胎的转速转化为脉冲信号,因此想到运用频率计进行测量脉冲的个数,进而可以测量速度。由于本次实验选择的系统板内存有限,不能进行大量的运算,并没有将车速与行程进行联系。这是本次设计的一大缺陷,但是不妨碍设计完成所有的功能测试。 车速还需要一个外围电路产生,通过霍尔传感器转化为脉冲信号给FPGA进行测量,但是由于在家条件有限,并不能实现这样的效果,只能进行仿真测试系统的准确性。

设计总结

本设计基本达到要求,经过仿真测试与硬件调试,都能够取得良好的效果。但是在设计过程中仍旧有不小的麻烦。 第一步设计方案时,要设计好系统框图,这是FPGA设计的必要条件,但是对于我而言一个人设计这样一个复杂的系统,是十分困难的,而且FPGA Verilog HDL语言不同于C语言,这又是本次设计的一大难点。 第二步进行代码编写的时候,由于采用的是顶层模块的编写,模块的输入输出的对应关系也是一大难点,显示生活中的记程可以达到几百公里,而本设计的公里数仅仅只能达到99公里,这是本设计的一大缺陷,为了使得本设计能够真正的投入使用,毕业设计并不是一个终点,因此在今后还需找机会进行完善设计。 在采用何种方式测量汽车的转速是本设计的另一大难点,于是联想到霍尔传感器可以将轮胎的转速转化为脉冲信号,因此想到运用频率计进行测量脉冲的个数,进而可以测量速度。由于本次实验选择的系统板内存有限,不能进行大量的运算,并没有将车速与行程进行联系。这是本次设计的一大缺陷,但是不妨碍设计完成所有的功能测试。 车速还需要一个外围电路产生,通过霍尔传感器转化为脉冲信号给FPGA进行测量,但是由于在家条件有限,并不能实现这样的效果,只能进行仿真测试系统的准确性。 本设计是我帮助别人做的一次毕业设计,时间仓促,但基本功能都已实现,如果能够模拟转速测量频率,去测量速度与距离。效果会更好。另外附上代码。

代码 顶层文件 module taxi(clk_50M, reset,start,a,b,c,d,e,f,g,p,sel,pluse,led,key,set); // 端口的定义 input clk_50M,reset,start,pluse,key,set;//总的时钟信号,复位信号,开始信号 output[7:0] sel;//数码管的输出 output a,b,c,d,e,f,g,p; output led; wire led; wire [7:0]distance;//公里 wire [7:0] s;//秒 wire [7:0] m;//分 wire [7:0] fee;//费用 wire [3:0] rprice; wire [7:0]rfee; wire [31:0]q; wire [3:0]q0,q1,q2,q3,q4,q5,q6,q7; wire [3:0]DH,DL,MH,ML,SH,SL,FH,FL; wire distance_enable; //公里控制费用的信号 wire time_enable; //时间控制费用的信号 wire select_clk; //控制信号 wire hz1,hz2; //数码管的时钟 wire hz; //计数时钟 wire clk_key; wire timer; wire key_reg,rkey_reg,rkey_set; wire module_Flag,SPEED_Flag,flag,price_Flag,fee_Flag,beep_flag; //*模块的调用*// div_clk u0(.clk(clk_50M),.fs(1),.cko(hz));//调用计数分频模块 div_clk u1(.clk(clk_50M),.fs(500),.cko(hz1));//调用数码管分频模块 div_clk u2(.clk(clk_50M),.fs(1000),.cko(clk_key));//调用时钟消抖分频模块 control u3(.flag(flag),.distance_enable(distance_enable),.time_enable(time_enable), .select_clk(select_clk)); distancemokuai u4(.clk(hz),.flag(flag),.reset(reset),.distance(distance), .distance_enable(distance_enable),.module_Flag(module_Flag));//调用计程模块 timemokuai u5(.clk(hz),.reset(reset),.flag(flag),.s(s),.m(m), .time_enable(time_enable));//调用计时模块 feemokuai u6(.reset(reset),.price(rprice),.fee(fee),.s_fee(rfee),.select_clk(select_clk),.clk(hz));//调用计费模块 feeprice_set u7(.fee_Flag(fee_Flag),.price_Flag(price_Flag),.set(rkey_set),.reset(reset), .clk(clk_50M),.fee(rfee),.price(rprice)); scan_led u8 ( .clk(hz1), .DA(DH), .DB(DL), .DC(MH), .DD(ML), .DE(SH), .DF(SL), .DG(FH), .DH(FL), .a(a), .b(b), .c(c), .d(d), .e(e), .f(f), .g(g), .p(p), .sel(sel) ); count_in u9(.clk_in(pluse),.q(q),.timer(timer)); count_cnt u10(.clk(hz),.q(q),.q0(q0),.q1(q1),.q2(q2),.q3(q3),.q4(q4),.q5(q5),.q6(q6),.q7(q7), .timer(timer),.led(led),.beep_flag(beep_flag)); key_shake u11(.clk(clk_key), .key_in(key), .key_out(key_reg)); key_shake u12(.clk(clk_key), .key_in(start), .key_out(rkey_reg)); key_shake u13(.clk(clk_key), .key_in(set), .key_out(rkey_set)); key_control u14(.key(key_reg),.clk(clk_50M),.module_Flag(module_Flag),.SPEED_Flag(SPEED_Flag), .price_Flag(price_Flag),.fee_Flag(fee_Flag)); key_control2 u15(.start(rkey_reg),.clk(clk_50M),.flag(flag),.reset(reset),.module_Flag(module_Flag)); switch u16(.clk(clk_50M),.distance(distance),.s(s),.m(m), .q0(q0),.q1(q1),.q2(q2),.q3(q3),.q4(q4),.q5(q5),.q6(q6),.q7(q7), .fee(fee),.s_fee(rfee),.price(rprice),.module_Flag(module_Flag), .SPEED_Flag(SPEED_Flag),.fee_Flag(fee_Flag),.price_Flag(price_Flag), .DH(DH),.DL(DL),.MH(MH),.ML(ML),.SH(SH),.SL(SL),.FH(FH),.FL(FL)); endmodule//结束顶层模块 分频 module div_clk(clk,fs,cko); input clk; //输入时钟频率clk=50M input [31:0]fs; output cko; //输出时钟cko reg cko; parameter N=50_000_000; //定义累加器上限,需要与基准频率f0相等 reg [31:0]ACC; //定义32位累加器ACC always @(posedge clk) begin //累加器在clk上升沿触发完成累加 if(ACC8'H01)&&(s[7:0]==8'H00))?1'b1:1'b0; endmodule 计费 module feemokuai(price,s_fee,select_clk,reset,fee,clk); input select_clk,reset,clk; input [3:0]price; input [7:0]s_fee; output[7:0] fee;//输出的费用 reg [7:0] fee; always@(posedge clk or negedge reset) //异步复位 begin if(!reset) //低电平有效 begin fee


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3