Q3D对PCB电路板寄生参数提取,RLC

您所在的位置:网站首页 三维仿真模型要详细去画电路吗 Q3D对PCB电路板寄生参数提取,RLC

Q3D对PCB电路板寄生参数提取,RLC

2024-07-15 12:09| 来源: 网络整理| 查看: 265

作为一款电磁的三维仿真工具,ANSYS Q3D Extractor可以设计用在高速电子设备上的电子封装和连接器,或者设计用在电力分配、电力电子和电力传动系统中的高功率汇流排和功率变化器件。Q3D Extractor可计算任意载流结构的寄生参数:频变电阻、电感、电容和电导(RLCG)。通过寄生参数提取,用户能在生产前仿真和验证产品的性能,从而减少设计时间。

第一步:AD导出

第二步:在Slwave中,EDB文件转化

全选网络

第三步:在Q3D中提取参数

打开刚才输出的文件

加连接块

给回路的一端(face)添加源

给回路的另一端(face)添加漏

在网络中自动定义网络,消除错误

效果如图

设置仿真频率

查看结果:

得到了最终结果,即这一段走线的电阻和电感值



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3