【Verilog】testbench仿真对文本数据的读取和写入

您所在的位置:网站首页 vivado仿真数据怎么导出 【Verilog】testbench仿真对文本数据的读取和写入

【Verilog】testbench仿真对文本数据的读取和写入

2024-01-21 07:34| 来源: 网络整理| 查看: 265

        在需要进行数据对比的情况下,使用仿真波形来对比查看是比较费劲的,可以将仿真数据写入文本,然后使用文本比较软件(如beyond compare)来进行数据对比验证。

        这里介绍一种方式。

        实验内容:从文件1(data_in.txt)中读出数据,写入文件2(data_out.txt),再打印出来,进行对比。

        data_in.txt 中我们先手动写入1-16共16个数据,data_out.txt文空白文件。

 

testbench:

module mem_test(); parameter WIDTH=8; parameter DEPTH=16; parameter FILE_PATH_A = "F:/tb/mem_test/data_in.txt"; parameter FILE_PATH_B = "F:/tb/mem_test/data_out.txt"; integer i; integer file_r,file_w; reg[WIDTH-1:0]data0[DEPTH-1:0]; reg[WIDTH-1:0]data1[DEPTH-1:0]; initial begin file_r = $fopen(FILE_PATH_A,"r");//r:以读形式打开 for(i=0;i


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3