vivado工程创建及工程测试testbench教程

您所在的位置:网站首页 testbench怎么运行 vivado工程创建及工程测试testbench教程

vivado工程创建及工程测试testbench教程

2023-07-20 04:29| 来源: 网络整理| 查看: 265

vivado工程创建及工程测试testbench教程 一、工程创建二、工程测试testbench

一、工程创建

按如下30步流程即可创建并完成仿真

在这里插入图片描述 在这里插入图片描述 第三步对工程命名

在这里插入图片描述 在这里插入图片描述 第七步搜索你的FPGA板型号

在这里插入图片描述 在这里插入图片描述 此处右键design sources选择出现的add sources

在这里插入图片描述 此处为design sources

在这里插入图片描述 在这里插入图片描述 第十四步对你的design sources命名

在这里插入图片描述 在这里插入图片描述 在这里插入图片描述 第十八步双击design sources中你创建的文件

在这里插入图片描述 这里我绑定了notepad++,因此自动跳转到notepad++,因为vivado自带的编辑页面很不舒服,因此建议绑定一个你习惯的编辑器,notepad++、vs等等都可以,具体教程网上都有,很简单

这里进行代码的编辑例如: (该程序具体用法看上篇博客)

module L1C( clk, work, l1c, code //端口列表 ); input clk; input work; input [5:0]l1c; output code; wire code; //I/O端口说明 reg [5:0]r0; //寄存器RO wire feedback; //反馈值 //中间变量定义 // ----------------------------------------------------------------------------------------------------- // ------------------------------Code Generator Part --------------------------------------------------- // ----------------------------------------------------------------------------------------------------- always @(posedge clk) //clk上升沿及rst下降沿执行操作 begin if(!work) begin r0


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3