位宽计算的系统函数$clog2,这些是你需要知道的【Verilog高级教程】

您所在的位置:网站首页 log4减log2 位宽计算的系统函数$clog2,这些是你需要知道的【Verilog高级教程】

位宽计算的系统函数$clog2,这些是你需要知道的【Verilog高级教程】

2023-09-23 17:52| 来源: 网络整理| 查看: 265

芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球四社区联合力荐!近500篇数字IC精品文章收录!【数字IC精品文章收录】学习路线·基础知识·总线·脚本语言·芯片求职·EDA工具·低功耗设计Verilog·STA·设计·验证·FPGA·架构·AMBA·书籍

在这里插入图片描述

如何使用$clog2进行位宽计算 一、写在前面二、什么是$clog2三、$clog2的优势和案例四、额外补充五、其他math functions六、往期【Verilog】高级教程文章

一、写在前面

本专栏为作者在 【数字IC手撕代码】 【数字IC笔试面经分享】 【数字IC工具解析】 以外开设的第四个独立专栏,旨在学习并提供有关Verilog硬件描述语言中非基础性的高阶语法特性知识,因本身专栏的独特定位,因此作者并不会涉及基础Verilog语言如阻塞式非阻塞赋值,过程块,数据类型等内容;同时受限于作者知识有限,本专栏也不会涉及System Verilog的相关内容,若按照IEEE的相关标准来看,本专栏将会聚焦Verilog-2005,即“IEEE Std 1364™-2005”以及之前的有关内容,提供相关的IC设计领域语法特性。以下为Verilog的进阶框图,有更多学习需求的读者可以检索相关英文标准进行学习。 在这里插入图片描述

二、什么是$clog2

$ clog2这是一个系统函数,第一次出现于Verilog-2005版本中,在IEEE中处在17.11.1节的math functions中,因为log2是2进制的对数,所以这个系统函数在电路设计的计算位宽时体现出了自身的方便性,需要注意的是,这里的$clog2是向上取整的一个系统函数,比如

$clog2(5) 虽然真实的值为2.3,但经过向上取整后,最后的输出为3

三、$clog2的优势和案例

在老的IEEE verilog版本中,假如不用clog2去计算位宽,我们可能需要如下的function函数来进行位宽计算,这个函数本身很好理解,即通过移位去检测depth的位宽,之后我们需要再将计算得到的数字使用在端口定义的过程中。

function integer clog2( input integer depth ); begin if(depth == 0) clog2 = 1; else if(depth != 0) for(clog2 = 0; depth > 0;clog2 = clog2 + 1) depth = depth >> 1; end endfunction

但是引入$clog2后,原function可以简化为如下的过程,很显然,通过对系统函数 $clog2的使用,我们大大减少了设计时端口宽度定义时需要code的量。

module clog2(a,b); parameter depth = 2034; input [$clog2(depth)-1:0] a; output [$clog2(depth)-1:0]b; //details about the design endmodule 四、额外补充

在Xlinix的官网的“44586 - 13.2 Verilog $clog2 function implemented improperly”中,作者发现了13.2版本的Xlinix的ISE对clog2系统函数的错误计算,按照文章中所言:“The $clog2 function returns the ceiling of the logarithm to the base e (natural logarithm) rather than the ceiling of the logarithm to the base 2.”意味着13.2版本的ISE以e为底计算clog2,而非以2为底,官方的回复是ISE 13.2 仅支持Verilog-2001,这个问题在ISE 14.1中进行了修复,所以读者假如使用的开发套件是老版本的,或者不支持Verilog-2005,都有可能因为使用clog2产生问题,需注意。具体额外补充参考如下。 44586 - 13.2 Verilog $clog2 function implemented improperly

五、其他math functions

$clog2是我们在电路设计中相当常见的一个数学相关的系统函数,IEEE也给我们提供了其他类型的系统函数,截图如下,可以发现,这些函数包括了从对数函数、指数函数、到三角函数,反三角函数的各种类型,遇到具体工程问题,读者也可以调用这些系统函数来简化验证工作。 在这里插入图片描述

六、往期【Verilog】高级教程文章 多维数组:灭霸打个响指的功夫,看懂Verilog多维数组clog2系统函数: 关于Verilog自动计算位宽的系统函数$clog2,这些是你不得不知道的UDP用户原语:玩转UDP用户原语,这篇文章就够了$monitor系统函数:放学前的最后几分钟,看懂Verilog中的monitor系统函数generate语句:一把王者的时间,学会Verilog中的generate语句parameter常量:玩转parameter与localparameter,这篇文章就够了inout双向端口:通俗易懂的带你解读inout双向端口task与function区别:芯片人必会的task与function区别详解


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3