Icarus Verilog 教程

您所在的位置:网站首页 icarus歌曲 Icarus Verilog 教程

Icarus Verilog 教程

2023-01-22 12:17| 来源: 网络整理| 查看: 265

Icarus Verilog,简称iVerilog,是比较著名的开源HDL仿真工具。这里简单介绍一下如何使用。

下载地址

windows版

安装教程 按默认状态安装,一路next 使用教程 编译 编写好代码和TestBench 代码和TestBench不要放在带中文的目录下 如果是在win7平台,在代码目录下,按住Shift+鼠标右键,然后选择“在此处打开命令窗口” 在命令行中输入 iverilog -V

如果有大量英文内容出来,则表示iverilog安装成功。

编译代码 iverilog -o target_name source1.v source2.v tb.v

target_name 是生成目标的文件名称,.v文件是所有必的源代码

生成波形文件

输入如下代码

vvp target_name

target_name 为上一步生成的目标文件。运行成功后,会生成.vcd的波形文件。

查看波形

输入

gtkwave target.vcd

target.vcd为上一步生成的波形文件

实例 `timescale 1s/1s module led ( input clk, input rst_n, output red, output green, output yellow ); reg[4:0] cnt; always@(posedge clk or negedge rst_n) begin if (!rst_n) begin cnt


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3