DSP48E1的四个控制端口:ALUMODE[3:0],CARRYINSEL[2:0],INMODE[4:0],OPMODE[6:0]

您所在的位置:网站首页 dsp48e1 DSP48E1的四个控制端口:ALUMODE[3:0],CARRYINSEL[2:0],INMODE[4:0],OPMODE[6:0]

DSP48E1的四个控制端口:ALUMODE[3:0],CARRYINSEL[2:0],INMODE[4:0],OPMODE[6:0]

2024-07-15 06:12| 来源: 网络整理| 查看: 265

DSP48E1的四个控制端口 INMODE[4:0]OPMODE[6:0]ALUMODE[3:0]CARRYINSEL[2:0] DSP48E1有四个控制端口,决定着其逻辑功能,分别是ALUMODE[3:0],CARRYINSEL[2:0],INMODE[4:0],OPMODE[6:0],这四个信号都有一个input register以提高性能。他们的功能分别为:

INMODE[4:0]

INMODE[4:0]:该信号选择预加器以及A、D、B的相关输入寄存器。其中INMODE[3:0]决定着乘法器A port的输入,INMODE[4]决定乘法器B port的输入。具体如下图。 在这里插入图片描述 在这里插入图片描述 在这里插入图片描述 在这里插入图片描述

OPMODE[6:0]

OPMODE[6:0]:选择X、Y、Z三个复用器的输出至后级的adder/subtracter/logic unit,如果想在后级的adder/subtracter/logic unit使用乘法器的输出,那么此时X、Y复用器都将被用来选择乘法器的输出,因为乘法器将自己的输出分成两个部分积的形式(86bit分成两个43bit),并进行符号位扩展成48bit分别输入X、Y复用器,所以当使用乘法器的输出时,后级的adder/subtracter/logic unit变成了一个两输入单元。具体如下图。 在这里插入图片描述

ALUMODE[3:0]

ALUMODE[3:0]:该信号控制第二级adder/subtracter/logic unit的行为。具体如下图: 在这里插入图片描述 上图有两点要注意,一是此处只涉及三输入操作;二是补码负数的求解需要注意负最大的情况,因为补码的正数是比负数少了一个值的,这一点需要通过仿真确认是否有错。有关于后级adder/subtracter/logic unit的二输入操作的真值表如下: 在这里插入图片描述

CARRYINSEL[2:0]

CARRYINSEL[2:0]:该信号选择相关进位信号至第二级adder/subtracter/logic unit。该信号的逻辑框图以及真值表如下所示。 在这里插入图片描述 在这里插入图片描述 另外,ALUMODE[3:0],CARRYINSEL[2:0],OPMODE[6:0]的输入寄存器逻辑框图如下: 在这里插入图片描述



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3