2

您所在的位置:网站首页 42编码器表达式 2

2

2024-07-11 00:17| 来源: 网络整理| 查看: 265

组合逻辑中的2-4译码器

设计一个2-4译码器。 2-4译码器,输入的2位二进制代码共有四种状态,译码器将每个输入代码译成对应的一根输出线上的高、低电平信号。由此可得如下表1-6的真值表。将输入的A、B和输出Y0、Y1、Y2、Y3的关系写成逻辑表达式则得到: Y0=A’B’ Y1=A’B Y2=AB’ Y3=AB

真值表

逻辑电路

1. 知识点 通过实验理解译码器电路; 学习Verilog HDL行为级描述方法描述组合逻辑电路。 2. 用CircuitJS仿真

24decoder.mp4

2:4译码器在CircuitJS中的仿真效果

3. 原理图

decode24led.png

4. Verilog代码 // ******************************************************************** // >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3