Modelsim缺失库快速添加

您所在的位置:网站首页 资源库怎么找不到了 Modelsim缺失库快速添加

Modelsim缺失库快速添加

2024-07-17 16:41| 来源: 网络整理| 查看: 265

Modelsim缺失库快速添加

文章目录 Modelsim缺失库快速添加前言一、.ini文件二、器件库配置1、将器件库放在modelsim文件夹下2、ini配置文件修改

前言

在单独使用modelsim时,假如要编译复杂的工程文件,可能会遇到特定器件库不存在而导致编译无法通过的问题,这篇文章主要介绍库的添加,网上很多教程针对modelsim库缺失的问题,都是一个一个库慢慢添加,很费时间,并且添加也不全面,这次介绍一个能快速添加多个库的办法(仅针对altera库)

一、.ini文件

配置库前,先了解一下ini文件,这个文件是库配置的关键。ini文件是modelsim配置文件,记事本打开后如下图所示 在这里插入图片描述

可以看见,在初始安装后的ini配置文件下,Library下面的信息仅寥寥几行,以及后面也没有对应器件库文件的路径配置信息,这些是需要我们自己去添加更改的

二、器件库配置 1、将器件库放在modelsim文件夹下

将器件库放在modelsim文件夹下,这里新建一个altera库文件夹 在这里插入图片描述 altera库下新建verilog和vhdl文件夹来装载对应库(这里看自己使用情况,笔者verilog和vhdl都有使用就就分别创了两个文件夹以区分) 在这里插入图片描述 将对应器件库装在verilog/vhdl文件夹下,如下图所示 在这里插入图片描述

2、ini配置文件修改

在[Library]下加入以下信息 std_developerskit = $MODEL_TECH/…/std_developerskit synopsys = $MODEL_TECH/…/synopsys modelsim_lib = $MODEL_TECH/…/modelsim_lib sv_std = $MODEL_TECH/…/sv_std

在这里插入图片描述

然后进行器件库路径配置 这里分了两个器件库,一个VHDL库一个Verilog库 配置格式如下: 库名称=库路径/库名称 例如altera_mf库路径(绝对路径看具体文件存放位置): altera_mf = $MODEL_TECH/…/altera/vhdl/altera_mf altera = $MODEL_TECH/…/altera/vhdl/altera 根据自己所有的器件库中器件来进行具体配置 笔者路径配置完后如下: 在这里插入图片描述 在这里插入图片描述

完成库文件夹及ini文件配置后,再次打开modelsim界面就会出现如下界面,会发现很多器件库已经自动配置好,无需一个一个手动添加,且每次编译工程时会自动调用这些器件库 在这里插入图片描述 至此,modelsim库配置完成



【本文地址】


今日新闻


推荐新闻


    CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3