Sublime text别再弹出(wei zhu ce)以及(geng xin)提示了?

您所在的位置:网站首页 电脑搜索框一直跳出 Sublime text别再弹出(wei zhu ce)以及(geng xin)提示了?

Sublime text别再弹出(wei zhu ce)以及(geng xin)提示了?

2024-06-20 00:52| 来源: 网络整理| 查看: 265

文章目录 背景操作方法参考来源sublime 分享最后想说的话不自动更新提示的方法同行邀请

背景

你在什么场合使用这个软件,我不知道,但是我是用来写Verilog的,和Vivado联合使用再方便不过了! 然而在使用Sublime的时候,总是提示gou mai(弹窗),以及在sublime界面上存在unregister的标志,让人冒火(当然你也可以gou mai正版)。 那这篇博客的目的在明显不过了,就是亲测下如何PJ sublime?我讨厌复杂的方法,以及眼花缭乱的操作,因此给出了一种最简单的方法:

操作方法

很简单,只需要打开安装完成的sublime,之后点击help,填入zhu ce ma! 关键在于zhu ce ma,下面zhu ce ma来自互联网,亲测有用,但什么时候失效就不知道了。

第一个(选择任意一个):

Michael Barnes Single User License EA7E-821385 8A353C41 872A0D5C DF9B2950 AFF6F667 C458EA6D 8EA3C286 98D1D650 131A97AB AA919AEC EF20E143 B361B1E7 4C8B7F04 B085E65E 2F5F5360 8489D422 FB8FC1AA 93F6323C FD7F7544 3F39C318 D95E6480 FCCC7561 8A4A1741 68FA4223 ADCEDE07 200C25BE DBBC4855 C4CFB774 C5EC138C 0FEC1CEF D9DCECEC D3A5DAD1 01316C36

第二个:

Nicolas Hennion Single User License EA7E-866075 8A01AA83 1D668D24 4484AEBC 3B04512C 827B0DE5 69E9B07A A39ACCC0 F95F5410 729D5639 4C37CECB B2522FB3 8D37FDC1 72899363 BBA441AC A5F47F08 6CD3B3FE CEFB3783 B2E1BA96 71AAF7B4 AFB61B1D 0CC513E7 52FF2333 9F726D2C CDE53B4A 810C0D4F E1F419A3 CDA0832B 8440565A 35BF00F6 4CA9F869 ED10E245 469C233E

第三个:

Anthony Sansone Single User License EA7E-878563 28B9A648 42B99D8A F2E3E9E0 16DE076E E218B3DC F3606379 C33C1526 E8B58964 B2CB3F63 BDF901BE D31424D2 082891B5 F7058694 55FA46D8 EFC11878 0868F093 B17CAFE7 63A78881 86B78E38 0F146238 BAE22DBB D4EC71A1 0EC2E701 C7F9C648 5CF29CA3 1CB14285 19A46991 E9A98676 14FD4777 2D8A0AB6 A444EE0D CA009B54

第四个:

Alexey Plutalov Single User License EA7E-860776 3DC19CC1 134CDF23 504DC871 2DE5CE55 585DC8A6 253BB0D9 637C87A2 D8D0BA85 AAE574AD BA7D6DA9 2B9773F2 324C5DEF 17830A4E FBCF9D1D 182406E9 F883EA87 E585BBA1 2538C270 E2E857C2 194283CA 7234FF9E D0392F93 1D16E021 F1914917 63909E12 203C0169 3F08FFC8 86D06EA8 73DDAEF0 AC559F30 A6A67947 B60104C6 参考来源

Sublime text 3 P J方法

sublime 分享

https://pan.baidu.com/s/1_1pKh3psfjU65GwAaeCN6Q Ti qu ma: opqn

最后想说的话

如果你也是用于写Verilog,刚安装下来肯定是没有语法高亮,自动填词以及生成模板等功能,你需要做的是安装插件: 在这个网站下: https://packagecontrol.io/installation 尝试提示的方案: 我使用了第二种方案: 李锐博恩安装完成这个package control之后,重启sublime,然后按ctrl+shift+P,在弹出来的窗口中输入install,enter进入插件安装框: 在这里插入图片描述 输入需要安装的插件,并选择插件安装即可。 在这里插入图片描述给出以前写的参考链接:【 Sublime Text 】如何使用Sublime Text直接生成Verilog例化模板

不自动更新提示的方法

很简单,只需要:

"update_check": false,

点击菜单栏“Preferences”=> "Settings-User"将里面修改为如下: 李锐博恩 红圈内容为需要添加的内容。

同行邀请

FPGA/IC技术交流2020



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3