ModelSim仿真实例教程

您所在的位置:网站首页 电子表调试教程 ModelSim仿真实例教程

ModelSim仿真实例教程

2023-11-26 10:21| 来源: 网络整理| 查看: 265

       ModelSim是Mentor的子公司Medel Technology的一个出色的Verilog HDL/VHDL 混合仿真软件,它属于编译型仿真器(进行仿真前必须对HDL源码进行编译),方针速度快,功能强。

       下面简单介绍一下ModelSim仿真实例教程。以BCD码加法器为例。

1、 启动ModelSim

2、首次运行ModelSim时,在建立project 前,需要先建立一个工作库(library),一般将这个library命名为work,project一般都是在这个work下面工作的。

      File\new\library,弹出对话框是否创建work library,点击OK创建成功。

创建界面如下图:

3、新建工程 File\new\project ,点击OK创建成功。

创建界面如下图:

注意 ProjectLocation 为项目存储位置,自定义创建项目存储位置文件夹为  ModelSim_workSpace ,若文件夹已存在则直接保存,若不存在,则按提示 creat 目标文件夹即可。

4、创建工程。

4.1 导入工程测试文件。以BCD码加法器源码为方针文件,直接从quartus II 的workspace中导入代码,即Add Existing File(添加已存在文件)

如下图:

注意:界面中Reference... & Copy... 两项,前者便



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3