实验8 #第8章 Verilog有限状态机设计

您所在的位置:网站首页 汽车尾灯控制电路verilog 实验8 #第8章 Verilog有限状态机设计

实验8 #第8章 Verilog有限状态机设计

2023-03-11 09:03| 来源: 网络整理| 查看: 265

2. 汽车尾灯控制器

2.1 实验要求:设计一个汽车尾灯控制电路。

      (1)功能:汽车左右两侧各有3个尾灯,要求控制尾灯按如下规则亮灭。

               汽车沿直线行驶时,两侧指示灯全灭。 右转弯时,左侧的指示灯全灭,右侧的指示灯按000,100,010,001,000循环; 左转弯时,右侧的指示灯全灭,左侧的指示灯同上右侧; 若直行时刹车,两侧的指示灯全亮;若转弯时刹车,转弯这一侧的指示灯按上述的循环顺序点亮,另一侧指示灯全亮; 故障或紧急状态时,两侧的指示灯闪烁。

2.2 汽车尾灯控制器代码如下:

 

1 //backlight control circuit 2 //2020-11-03 3 //by YongFengXie 4 module backlight(clk50m,turnl,turnr,brake,fault, 5 lightl,lightr); 6 input clk50m; 7 input turnl; 8 input turnr; 9 input brake; 10 input fault; 11 output [2:0] lightl; 12 output [2:0] lightr; 13 reg [23:0] count; 14 wire clock; 15 reg [2:0] shift=3'b001; 16 reg flash=1'b0; 17 /* 18 always @(posedge clk50m) 19 begin 20 if(count == 12500000) 21 count


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3