3

您所在的位置:网站首页 广州亚运城天韵楼盘如何生活家 3

3

2023-09-17 13:46| 来源: 网络整理| 查看: 265

一、实验目的

(1)学习并掌握Quartus II的使用方法 (2)学习使用原理图方法进行逻辑设计输入,并初步了解可编程器件设计的全过程 (3)熟悉ALTERA公司EDA设计工具软件Quartusll设计一个3-8译码器,并在实验开发系统上熟悉原理图输入及仿真步骤,掌握组合逻辑电路的设计及静态测试方法

二、实验仪器设备

(1) PC机一台。 (2)Quartus Ⅱ开发软件一套 (3)EDA实验开发系统一套

三、实验原理

译码是相对于编码的逆过程,在基于一定硬件支持下能是将具有特定含义的二进制代码通过特定的逻辑电路设计进而转换成控制信号,也就是将每个输入的二进制代码转译成对应的高低电平信号并输出。具有译码功能的逻辑电路简称为译码器。 译码器可以分为两种基本的类型,其中一种是将一系列代码转换成与之一 一相对应的实际高低电平有效控制信号,这种译码器称为唯一地址译码器,通常情况下这种译码器被用于计算机系统中对存储单元地址的译码,即将每一个地址代码转换成一个有效信号,从而选中存储单元中与之对应的单元;另一种译码器是将一种代码转换成另一种有别于之前代码,如可以将BCD 代码转换为七段显示译码器执行的动作就是把一个4位BCD码转换为7位码输出。如果有N个二进制选择线,则最多可译码为 2 N 2^{N} 2N个数据。

四、实验内容

3-8译码器的逻辑线路图如图所示 3-8译码器电路设计原理图

在本实验中,采用原理图设计方法实现一个简易3-8 译码器的设计。用三个按键来模拟3-8译码器的三个输入逻辑电平信号,用八个 LED灯来表示3-8译码器的八个输出逻辑电平译码器的真值表进行对比,信号。通过输入不同的逻辑电平值来观察输出电平结果,与3-8看是否一致。 3-8译码器真值表见下表 3-8译码器真值表 3-8译码器的时序仿真图如图所示 3-8译码器的时序仿真图 VHDL代码示例(上面绘制电路法和下面代码法任选其一即可):

Library ieee; Use ieee.std_logic_ 1164.all; Entity decoder3_8 is Port( a:in std_logic_vector (2 downto 0); g1,g2,g3:in std_logic; y:out std_ logic vector (7 downto 0)); End; Architecture one of decoder3_8 is Begin Process (a,g1,g2,g3) Begin If g1='0' then y


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3