基于FPGA的图像拉普拉斯变换实现,包括tb测试文件和MATLAB辅助验证

您所在的位置:网站首页 常见拉普拉斯变换对 基于FPGA的图像拉普拉斯变换实现,包括tb测试文件和MATLAB辅助验证

基于FPGA的图像拉普拉斯变换实现,包括tb测试文件和MATLAB辅助验证

2024-07-13 02:53| 来源: 网络整理| 查看: 265

目录

1.算法运行效果图预览

2.算法运行软件版本

3.部分核心程序

4.算法理论概述

5.算法完整程序工程

1.算法运行效果图预览

2.算法运行软件版本

matlab2022a

vivado2019.2

3.部分核心程序 `timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2022/07/28 01:51:45 // Design Name: // Module Name: test_image // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // // module test_image; reg i_clk; reg i_rst; reg [7:0] Buffer [0:100000]; reg [7:0] II; wire [7:0] o_lpls; integer fids,idx=0,dat; //D:\FPGA_Proj\FPGAtest\codepz\project_1\project_1.srcs\sources_1 initial begin fids = $fopen("D:\\FPGA_Proj\\FPGAtest\\codepz\\test0.bmp","rb"); dat = $fread(Buffer,fids); $fclose(fids); end initial begin i_clk=1; i_rst=1; #1000; i_rst=0; end always #5 i_clk=~i_clk; always@(posedge i_clk) begin II


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3