Vivado与ModelSim的联合仿真操作

您所在的位置:网站首页 vivado与modelsim对应关系 Vivado与ModelSim的联合仿真操作

Vivado与ModelSim的联合仿真操作

2024-07-16 15:15| 来源: 网络整理| 查看: 265

Vivado自带的仿真,个人觉得跑一些小模块的仿真还是可以的,不过跑大的仿真系统,容易无体验感,建议用第三方工具,这边就直接对ModelSim下手了,接下来介绍下这两者联合仿真的操作。

首先确定下自己的Vivado版本和适配的ModelSim版本,可以在ug973中,找到对应的版本,比如我用的Vivado是2018.2的,然后匹配的ModelSim是10.6c的版本。(有时候版本不匹配也能用,不过还是建议尽量匹配版本的好,省得出一些奇怪的问题)

42b63cce-8a3d-11ec-952b-dac502259ad0.png

然后准备编译仿真库,打开Vivado,先打开Settings的仿真器配置 (注:我因为装过多个版本,仿真器要换成最16.c的版本,不然编译结果会因为版本不匹配出问题)

42c96876-8a3d-11ec-952b-dac502259ad0.png

42dc6b38-8a3d-11ec-952b-dac502259ad0.gif ModelSim的安装路径换成16.c版本的位置,库路径也设置好对应的位置,然后就可以OK保存并关闭了

42f03370-8a3d-11ec-952b-dac502259ad0.png

接着在菜单栏中选 Tools > Compile Simulation Libraries

43072e40-8a3d-11ec-952b-dac502259ad0.png

设置项里选好仿真器(此处为ModelSim),编译后的仿真库放置路径(就填上面设置的那个新的仿真库路径),ModelSim程序的路径,IP核编译那项别取消了,是为了编译Xlinx全部IP核的,其他项保持默认即可;TCL的命令就是根据这些配置生成的,然后点Compile就可以开始慢慢等编译结果了。

431b902e-8a3d-11ec-952b-dac502259ad0.png

42dc6b38-8a3d-11ec-952b-dac502259ad0.gif

经过漫长的等待。。。。。。

结果出来了,检查下结果.......

43450e90-8a3d-11ec-952b-dac502259ad0.png

ERROR: [Vivado 12-5603] compile_simlib failed to compile for modelsim with error in 1 library

看着红彤彤的ERROR,心里有那么一丝丝小慌,然后往上翻,看看哪ERROR了

43612166-8a3d-11ec-952b-dac502259ad0.png

查到是ldpc编码的这个IP核出了问题,这个平常也没用到,就直接无视这个错误了(要是你们的没错误,就更好了,这个好像是因为系统是64位导致的,具体就懒得深究了)…… 如果是出现很多errors,就建议先检查下版本是否匹配上了,不然也不会疯狂报错…… 就接着往下说吧~ 打开要仿真的工程,然后对打开Settings,因为创建的工程默认是用的自带的仿真配置,所以需要改下仿真设置,首先将 Target simulator 改为 ModelSim Simulator,其次仿真库的位置也需要检查下是不是刚刚编译好的库对应的路径,检查无误就可以点OK,开始后面的了

43768c04-8a3d-11ec-952b-dac502259ad0.png

42dc6b38-8a3d-11ec-952b-dac502259ad0.gif

直接运行仿真

439d40c4-8a3d-11ec-952b-dac502259ad0.png

过一小会儿,Modelsim就被Vivado调用了,观察窗口的输出,正常无误

43b1fcf8-8a3d-11ec-952b-dac502259ad0.png

接着运行100us的仿真

43c349cc-8a3d-11ec-952b-dac502259ad0.png

也能正常运行,联合仿真OK~~ 最后补一点,假如自己不想编译库,想直接拿别人编好的,则拷贝好库后,还需要对库的初始化文件做对应的修改,找到库路径下的modelsim.ini(注:不要弄错成ModelSim安装路径下的那个ini了),然后用编辑器打开

43dc11b4-8a3d-11ec-952b-dac502259ad0.png

可以看到这些库都有以绝对路径的形式链接,所以如果是从别的地方拷过来的,或者你打算换个路径放仿真库,那么就需要将旧的路径改为新的路径,然后其他的使用操作就和上面的一模一样了。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉 仿真 仿真 +关注

关注

50

文章

3911

浏览量

132656 ModelSim ModelSim +关注

关注

5

文章

172

浏览量

46919 Vivado Vivado +关注

关注

19

文章

791

浏览量

65521

原文标题:Vivado与ModelSim的联合仿真

文章出处:【微信号:HXSLH1010101010,微信公众号:FPGA技术江湖】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

扫一扫,分享给好友

复制链接分享 评论

发布评论请先 登录

相关推荐

ISE 关联 Modelsim 详细操作 在两者之间即可,然后保存。 第三步,打开ISE,在菜单Edit-Preferences…,调出设置窗口。 设置好之后就到了最后一步,在新建工程时,选择对应的modelsim即可,在看仿真时正常打开就行了。 发表于 03-22 18:55 最实用的Modelsim使用教程 )执行仿真。上述3个步骤是大的框架,前仿真和后仿真均是按照这个框架进行的,建立modelsim工程对前后仿真来说都不是必须的。 3.3.1建 发表于 03-19 16:40 fpga仿真文件怎么写 首先,你需要选择一个FPGA仿真软件,如ModelSimVivado、Quartus II等。这些软件都提供了强大的仿真功能,可以帮助你验证FPGA设计的正确性。 的头像 发表于 03-15 14:00 •393次阅读 Modelsim报错, -novopt 开关打开,仿真失败 在使用紫光同创PDS和Modelsim联合仿真时,modelsim报错不会解决,如下图 发表于 02-18 10:26 如何使用 ModelSim 进行设计仿真 ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但 推荐 发表于 01-14 09:47 •0次下载 怎样单独使用modelsim仿真xilinx呢? 直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsimvivado仅用于生成IP核。 的头像 发表于 12-04 18:26 •825次阅读 怎样单独使用b class='flag-5'modelsim/bb class='flag-5'仿真/bxilinx呢? Vivado2018版本中Modelsim的配置 Vivado自带的仿真工具在一些基本功能的仿真测试时是可以满足的,但如果你的工程较为庞大,那么自带的仿真工具将有些勉强,除了在数据输出方面的卡顿,在 的头像 发表于 11-08 14:47 •1102次阅读 b class='flag-5'Vivado/b2018版本中b class='flag-5'Modelsim/b的配置 Vivado设计套件用户指南:逻辑仿真 电子发烧友网站提供《Vivado设计套件用户指南:逻辑仿真.pdf》资料免费下载 发表于 09-13 15:46 •0次下载 b class='flag-5'Vivado/b设计套件用户指南:逻辑b class='flag-5'仿真/b 如何用Python实现VivadoModelSim仿真自动化? 我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载t 的头像 发表于 09-13 09:23 •928次阅读 如何用Python实现b class='flag-5'Vivado/b和b class='flag-5'ModelSim/bb class='flag-5'仿真/b自动化?vivado仿真器改成modelsim仿真modelsim的transcript没法打印出e203实时运行的信息怎么解决? 原来仿真使用的是vivado simulator,最近将vivado仿真器改成modelsim,发现仿 发表于 08-11 09:47 使用vivado仿真仿真时,modelsim的transcript界面无法输出C程序的printf语句是为什么? 我现在将vivadomodelsim做了联合仿真,用来仿真蜂鸟e203协处理器扩展实现的功能。现在的问题是:使用 发表于 08-11 06:44 vivado软件和modelsim软件的安装方法 本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。 的头像 发表于 08-07 15:48 •2822次阅读 b class='flag-5'vivado/b软件和b class='flag-5'modelsim/b软件的安装方法 Vivado调用Modelsim仿真 Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对 的头像 发表于 07-24 09:04 •2579次阅读 b class='flag-5'Vivado/b调用b class='flag-5'Modelsim/bb class='flag-5'仿真/b modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真 大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次仿真都要去新建一个工程,添加.v文件以及testbe 的头像 发表于 07-19 10:10 •1281次阅读 b class='flag-5'modelsim/b自动化b class='flag-5'仿真/b实验 利用脚本实现b class='flag-5'modelsim/b自动化b class='flag-5'仿真/b vivado仿真流程 vivado开发软件自带了仿真工具,下面将介绍vivado仿真流程,方便初学者进行仿真实验。 的头像 发表于 07-18 09:06 •3343次阅读 b class='flag-5'vivado/bb class='flag-5'仿真/b流程


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3