Verilog中generate的用法

您所在的位置:网站首页 verilog中for循环实例 Verilog中generate的用法

Verilog中generate的用法

2023-03-27 14:32| 来源: 网络整理| 查看: 265

一:generate 在设计中,很多情况下需要编写很多结构相同但是参数不同的赋值语句或者逻辑语句,如果在参数量很大的的情况下,原本的列举就会显得心有余而力不足。c语言中常用for语句来解决此类问题,verilog则为我们提供了generate语句

Verilog-2001添加了generate循环,允许产生module和primitive的多个实例化,同时也可以产生多个variable,net,task,function,continous assignment,initial和always。在generate语句中可以引入if-else和case语句,根据条件不同产生不同的实例化。

generate语法有generate for, genreate if和generate case三种 用法介绍如下: generate for

(1)generate for语句必须有genvar关键字定义for的变量,generate_for用于复制模块;

(2)for 的内容必须加begin和end

(3) 必须给for语段起个名字

generate_if

是根据模块的参数(必须是常量)作为条件判断,来产生满足条件的电路。相当于判断语句。

generate case

generate case分支语句与generate if-条件语句类似,只不过将原来的分支语句换做了case*语句

generate for例子: `generate genvar i; //generate 8 samll fifo for in_data[i] 8X72 for(i=0; i


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3