Linux下VCS&Verdi入门级联合仿真教程

您所在的位置:网站首页 verdi教程 Linux下VCS&Verdi入门级联合仿真教程

Linux下VCS&Verdi入门级联合仿真教程

2024-06-11 01:44| 来源: 网络整理| 查看: 265

文章目录 前言VCS&Verdi介绍VCS&Verdi使用演示1.VCS&Verdi安装2.新建项目3.仿真调试&波形查看VCS仿真调试使用Verdi查看波形 VCS&Verdi提高效率1.自动编译和打开Verdi思路创建`file.f`文件创建bash脚本文件`run_sim`(不需要后缀)实现自动化编译和打开verdi 2.Verdi的灵活使用增加特定信号波形观察特定clk下每个变量的变化生成特定的电路结构直接导入.v和波形文件到Verdi 参考链接:

前言

如果没有安装VCS和Verdi,可以先移步到VCS & Veidi 下载与安装教程 一站式虚拟机套餐,感谢这位博主大大的分享,极大的减轻了我工具安装带来的烦恼。

VCS&Verdi介绍

博主在上一篇博文《ASIC设计流程及对应EDA工具简介》中介绍了ASIC设计流程中常用的EDA工具。大家使用过的Vivado工具基本上可以完成RTL编写、编译、仿真、综合、跑波形、生成比特流等工作。**VCS主要实现编译仿真、Verdi主要用于看波形。**但是为什么不直接使用Vivado呢? 对于学生来说,一个Vivado足够了,但是有一个问题就是慢。企业里更倾向于使用IC设计各个流程中更为专业的EDA工具,另外,Vivado主要是为了给自家的FPGA开发板设计的EDA工具,更倾向于用户的使用。相比之下, VCS和Verdi更适合公司进行开发,VCS工具具有仿真速度快、效率高的优点,可以节约开发时间,Verdi看波形更加方便,且方便debug,比Modelsim还好用,虽然上手较难,但是受用无穷。

另外,VCS+Verdi主要是用于前仿真,然后想后仿,那就得需要再用DC (Design Complier) 来逻辑综合。

VCS&Verdi使用演示

开发环境:Red Hat Enterprise Linux Server release 6.7

虚拟机:VMware Workstation Pro 17

编辑器:vim

VCS版本:VCS2014

Verdi版本:Verdi2015

1.VCS&Verdi安装

博主是直接使用的VCS & Veidi 下载与安装教程 一站式虚拟机套餐进行的安装,检测VCS和Verdi是否安装成功

在Terminal中

[IC@IC Desktop]$ vcs image-20230311164001742 [IC@IC Desktop]$ verdi image-20230311165828758

会打开Verdi的GUI界面。

2.新建项目

接下来主要借鉴博客Linux下VCS与Verdi联合仿真简易教程及例子示范_vcs verdi_one努力翻身的咸鱼的博客,侵删。

完成一个计数器的项目的仿真和调试

新建counter文件夹

mkdir counter && cd counter

新建counter.v文件

vim counter.v

复制下面内容到counter.v

module counter( input clk, input rst, output reg [5:0] count ); always @(posedge clk or negedge rst) begin if(!rst) count


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3