verdi dump命令常见用法

您所在的位置:网站首页 verdi截取波形文件 verdi dump命令常见用法

verdi dump命令常见用法

2024-06-19 00:33| 来源: 网络整理| 查看: 265

verdi dump命令详解 1.$fsdbDumpfile 语法:

$fsdbDumpfile(fsdb_name[,limit_size]) 1 含义: 指定波形文件名,第二个参数可选择用来限制波形的大小(MB)。 例如

$fsdbDumpfile(“tb_top.fsdb”,10240); 1 其中文件名可能被$fsdbDumpvars覆盖。

2.$fsdbDumpvars 语法:

$fsdbDumpvars([depth, instance][, “option”]) 1 含义: depth表示要加载波形的层次;0表示当前instance下的所有变量以及其它module实例的波形,1表示当前instance中的变量的波形,不包括当前instance中的其它module实例的波形,2表示包含当前instance以及其中的第一级子instance的波形;以此类推。 instance指定要加载波形的module名。 option加载波形的选项,如: +IO_Only – 只加载IO port信号; +Reg_Only – 只加载reg类型信号; +mda – 加载memory和MDA信号; +packedmda – 加载packed MDA; +struct – 加载structs; +parameter – 加载parameter; +fsdbfile+filename – 指定fsdb文件名字。

3.$fsdbDumpon/$fsdbDumpoff 语法:

$fsdbDumpon/$fsdbDumpoff([“option”]) 1 含义: 控制波形加载的开始和结束; $fsdbDumpoff之后,将停止记录信号值的变化,直到$fsdbDumpon,从当前时刻开始记录信号值的变化。 option—选项,如: +fsdbfile+filename – 指定将特定文件的波形加载打开与关闭,如果不指定,则默认指当前仿真所有的波形文件;

4.$fsdbAutoSwitchDumpfile 语法:

$fsdbAutoSwitchDumpfile(file_size, “fsdb_name”, number_of_files[, “log_file_name”,“+fsdb+no_overwrite”]) 1 含义: 当波形的大小达到限制后自动以一个新的波形文件起始加载波形; 在所有的波形文件加载完成后,会创建一个virtual FSDB文件,查看波形时只需要加载此文件就可以合并所有的波形文件。 file_size—波形文件大小限制,单位为MB,最小为10M,若值小于10M则默认10M; file_name—波形文件的名字,在实际加载波形时,文件名为file_name_000.fsdb file_name_001.fsdb…; number_of_files—最多可以加载多少个file_size这么大的波形文件,如果写为0,则默认没有限制; log_file_name—指定log文件的名字; +fsdb+no_overwrite—当number_of_files限制的个数达到时停止dump波形;

5.$fsdbDumpflush 语法:

$fsdbDumpflush() 1 含义: 在仿真过程中强制将信号值加载到波形中,便于在仿真过程中查看波形;

6.$fsdbDumpFinish 语法:

$fsdbDumpFinish() 1 含义: 在仿真过程中调用,停止dump波形;

7.$fsdbSwitchDumpfile 语法:

$fsdbSwitchDumpfile(“new_file_name”[, “+fsdbfile+src_file”]) 1 含义: 关闭现有的波形文件,以一个新的文件名开始加载波形; new_file_name—创建一个新的波形文件,将波形加载到这个文件中; +fsdbfile+src_file—指定要停止加载并关闭的波形文件, 这个参数不指定时,将默认使用当前正在加载的波形文件;

8.$fsdbDumpvarsByFile 语法:

$fsdbDumpvarsByFile(“text_file_name”[, “option”]) 1 含义: 类似于$fsdbDumpvars,这个方法支持将需要加载波形的instance写在文件中。 text_file_name—文本文件,指定要dump波形的instance; option—同$fsdbDumpvars中的option参数;

$fsdbDumpvarsByFile("xxx.xxx.dump.vh"); +all 1 tb_top +all 0 tb_top.xxx 1 2 3 9.$fsdbDumpMDA 语法:

$fsdbDumpMDA() 1 含义: fsdb dump波形时会记录二维数组2D array signal的值,便于在verdi中debug查memory内部信号。 ———————————————— 版权声明:本文为CSDN博主「Num One」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。 原文链接:https://blog.csdn.net/weixin_45270982/article/details/114155823



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3