task2:Verilog编写的设计模块在模块内部直接调用task

您所在的位置:网站首页 task调用变量 task2:Verilog编写的设计模块在模块内部直接调用task

task2:Verilog编写的设计模块在模块内部直接调用task

2024-07-09 11:19| 来源: 网络整理| 查看: 265

Verilog编写的设计模块在模块内部直接调用task 1,概念2,模块设计2.1,RTL设计2.2,tb测试代码2.3,sim仿真输出

参考文献: 1,练习七-在Verilog中使用任务task

1,概念

在模块设计中,直接在内部模块使用调用task任务,然后通过task任务中的变量(包括:输入和输出)来调用task任务的工作。

2,模块设计 2.1,RTL设计 // // 针对一个 task 来写一个模块调用 module mod_use_task( // 加法器 input i_rst, input i_clk, input [1:0] a, input [1:0] b, output reg [2:0] out ); reg [1:0] cnt; reg [7:0] d; always@(posedge i_clk or negedge i_rst) begin if(!i_rst) begin out


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3