FPGA(主)与STM32(从)SPI通信

您所在的位置:网站首页 spi代码fpga FPGA(主)与STM32(从)SPI通信

FPGA(主)与STM32(从)SPI通信

2024-07-01 04:40| 来源: 网络整理| 查看: 265

一、概述

        主要实现了FPGA向STM32快速发送数据(比较稳定),至于STM32发送过来的数据,大概率还是处于丢位状态。但因为我主要是要完成一个FPGA这边持续向STM32的发送,所以我也没仔细去研究如何实现双方通信更稳定(同时本人能力也有限)。

        至于SPI的通信时序,有四种模式,我选择的是空闲状态为高电平,采集在上升沿的一种模式。具体的时序可以参考其他博客,我自己的理解(以我选择的这种模式)就是在sck为低电平的时候寄存数据,然后一直保持数据到下一次sck为低电平。获取数据的话在sck拉高的瞬间,也就是sck的上升沿获取数据。

        STM32端我使用的是SPI5加DMA的方式来进行数据的收发。

        下面贴出FPGA和STM32的代码

二、FPGA端代码 spi主模式 module spi_master( input clk_1m, //时钟信号 1m input rst_n, //按键复位信号 input ena_mo, //模块使能 input [7:0]spi_tdata, //spi要发送的数据 input spi_miso, //spi 主进从出 output reg spi_mosi, //spi 从进主出 output reg spi_sck, //spi 时钟信号 output reg spi_nss, //spi 片选信号 output reg [7:0]spi_rdata, //spi接收到的数据 output tr_done //spi 完成一个字节发送 ); localparam waiten=0; //等待模块使能 localparam tx_revl=1; //sck低电平 localparam tx_revh=2; //sck高电平 localparam done=3; //完成一次发送 localparam DELAY = 5; //完成时等待5个时钟开始下一次发送 //reg define reg [3:0] us_cnt; //us计数器 reg us_cnt_clr; //计数器清零信号 reg[1:0] state,next_state; //当前状态和下一个状态 reg[3:0] w_cnt; //位计数器 //1微秒计数器 always @ (posedge clk_1m,negedge rst_n) begin if (!rst_n) us_cnt


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3