Verilog学习笔记 (四)QPSK调制实现

您所在的位置:网站首页 qpsk调制解调原理及实现方法 Verilog学习笔记 (四)QPSK调制实现

Verilog学习笔记 (四)QPSK调制实现

2023-10-24 19:26| 来源: 网络整理| 查看: 265

 Verilog的QPSK调制实现

QPSK调制一般采用两种方式:(1)调相法  (2)四相位选择法

一、调相法

 利用三段式状态机,实现由输入信号输出 两路正交相位调制输出

`timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2019/07/27 13:23:19 // Design Name: // Module Name: QPSK // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // // module QPSK(clk,rst_n,din,valid_in,out_real,out_imag,valid_out); input clk; input rst_n; input valid_in; //控制信号输入 input din; //输入信号 output out_real; // 实部输出 output out_imag; //虚部输出 output valid_out; //已调制后信号输出的标志 reg[15:0] out_real; reg[15:0] out_imag; reg valid_out; reg[1:0] temp; reg[1:0] state; reg[1:0] nextstate; parameter IDLE=2'b00,state1=2'b01,state2=2'b10, ONE=16'h0fff; always@(posedge clk) begin if(!rst_n) state


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3