verilog实现可综合脉冲信号延时模块的三种方法

您所在的位置:网站首页 plc延时模块 verilog实现可综合脉冲信号延时模块的三种方法

verilog实现可综合脉冲信号延时模块的三种方法

2024-07-14 19:53| 来源: 网络整理| 查看: 265

文章目录 1.1 背景1.2 延时打拍1.3 寄存器移位1.4 计数器延时 脉冲信号间隔数个时钟周期后输出是常见的信号处理形式,这里总结了三种常见的同步时钟下脉冲信号延时的方法,仅供参考。~~~

1.1 背景

下面处理的都是单个周期宽度的脉冲信号,信号宽度与时钟周期相同。三种方法分别是延时打拍,寄存器移位,计数器延时。

1.2 延时打拍

方法比较简单,适用于两拍,三拍传递数据,两拍跨时钟域同步,两拍信号上升沿、下降沿采样。

1.3 寄存器移位

较多次打拍从形式上较为繁琐,可以采用定义多为寄存器移位传输数据。

input pulse_in ; //脉冲输入 wire pulse_out ; //脉冲输出 reg [9:0] pulse_tmp ; //存放脉冲在传输过程的临时数据 always @(posedge CLK or negedge rst_n) begin if(!rst_n) pulse_tmp


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3