Modelsim仿真教程

您所在的位置:网站首页 modelsim详细使用教程 Modelsim仿真教程

Modelsim仿真教程

2023-09-29 23:29| 来源: 网络整理| 查看: 265

Modelsim仿真教程

1.打开安装好的Modelsim,初次使用时界面如下所示: 在这里插入图片描述 2.由于是第一次使用,需要新建library 在这里插入图片描述 弹出的对话框一般保持默认,选择ok 在这里插入图片描述 3.选中新建的work,然后新建工程

在这里插入图片描述 弹出的对话框中填入工程名和选择保存路径,然后选择OK 在这里插入图片描述 4.如果有仿真文件,在弹出的对话框选择存在的文件,否则选择新建文件,这里以新建文件为例进行演示 在这里插入图片描述 文件名与工程名一不一样都可以,语言类型一般选择Verilog 在这里插入图片描述 5.仿真文件添加完后,添加源文件,源文件如果已经有了,选择添加已存在文件直接添加,否则创建新文件 在这里插入图片描述 在这里插入图片描述 6.源文件和仿真文件添加完成后,选择Compile进行全编译或者只编译选中的文件,一般情况是进行全编译

编译通过的会显示√,编译未通过的会显示×,对未通过的进行修改,双击报错警告会弹出对话框提示错误出现位置以及错误类型,进行修改直至编译通过

在这里插入图片描述 7.选中仿真文件,选择Simulatie开始Simulation 在这里插入图片描述 在弹出的窗口找到work并展开

在这里插入图片描述 选中测试文件,并去掉下面Enable optimization的勾选,选择ok 在这里插入图片描述 8.弹出的仿真界面没有波形,需要将观察的波形信号拖到右边对话框,设置仿真时间进行仿真 在这里插入图片描述 要观察的信号和仿真时间设置好后,点击右侧run进行仿真,菜单栏的其他按钮功能根据需要自行查阅 在这里插入图片描述



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3