Quartus18 Modelsim

您所在的位置:网站首页 modelsim操作 Quartus18 Modelsim

Quartus18 Modelsim

#Quartus18 Modelsim| 来源: 网络整理| 查看: 265

Quartus Prime 中集成了Modelsim,可以调用Modelsim对顶层文件进行仿真。

1、初次使用的话,设置Modelsim-Altera的安装路径:

Tools--Options

image.png

General--EDA Tool Options,设置仿真工具的目录。右侧窗口选择你电脑Quartus安装目录中Modelsim-altera的位置,示例如图

a11 Modelsim-Altera.png 2、当前工程的仿真工具设为ModelSim-Altera

Assignments -- Settings,弹出的对话框中,左侧选择EDA Tool Setting -- Simulation,右侧的第一个下拉列表选择ModelSim-Altera,示例如图。

a12 simulation setting.png 3、生成测试文件.vt

先对工程执行分析综合,然后利用工具自动生成测试文件模板,操作如下。

image.png

生成的.vt文件位于当前工程目录中..\simulation\modelsim\ 文件夹内。 用Quartus打开.vt 文件

sim 3 open vt.png

刚才自动生成的 .vt只是一个模板,并不完整,需要补充。在vt文件中,编辑需要的测试激励后,保存。记下vt文件中的顶层模块名和文件名,比如下图中的顶层模块是Uart_FSM_vlg_tst,文件名是UART_FSM.vt

Edit .vt.png 4、将激励文件添加到仿真接口

Assignments -- Settings,弹出的对话框中,左侧选择EDA Tool Setting -- Simulation,右侧的第一个下拉列表选择ModelSim-Altera,下面添加Test Benches,流程示例如图。

Set vt file to modelsim.png set Test Bench module.png

第一个Test Bench name给当前的仿真起个名字 第二个Top level module ....输入测试文件.vt里顶层module的名字 建议先填第二个 点击Add后,点击OK--OK---OK........

sim 2 Test bench set 3.png 5、仿真

Tools -- Run ---RTL Simulation(寄存器传输级仿真,与功能仿真相同)

RTL Simulation sim result.png

也可以选择:Tools -- Run ---Gate level Simulation(门级仿真,与时序仿真相同)。 如果使用时序仿真,需要设置正确的芯片型号并将工程编译后再仿真。

常见错误: 1、功能仿真时,输出无信号,波形显示红色直线。 原因:可能是由于设计文件中的寄存器没有初始值,而电路基于该寄存器进行了取值、判断等操作,导致获取不到寄存器的值。 解决办法:为电路添加复位信号,在复位信号有效时给寄存器赋初值。 说明:这是仿真器的问题。在实际电路中,芯片上电后,寄存器内必然有值。 2、error deleting "msim_transcript": permission denied.

error deleting "msim_transcript"

原因:Modelsim已经打开了,不能进行新的仿真。 解决办法:关闭已经打开的Modelsim,重新启动仿真。 3、其他错误解决办法 测试文件如果有语法错误的话,会在modelsim的输出窗口以红色字体给予提示。

Modelsim error.png


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3