关于VHDL的IF,CASE语句用法

您所在的位置:网站首页 if语句后可以出现空行来简化书写 关于VHDL的IF,CASE语句用法

关于VHDL的IF,CASE语句用法

2023-09-10 20:22| 来源: 网络整理| 查看: 265

0.0.0最近期末复习,开始学习了一遍EDA,感觉好像不是很难的样子,但是比较繁琐,总有各种各样的小细节需要注意。 由于下午就要考试了所以我就写一点点内容,权当复习了0.0

先讲if语句吧

IF 条件句 THEN 顺序语句; END IF; 第一种if语句用于门闩控制,就只有一个条件句,是最简单的if语句 ---------- IF 条件句 THEN 顺序语句; ELSE 顺序语句; END IF; 第二种if语句多用于二选一电路,也很简单啦 ---------- IF 条件句 THEN 顺序语句; ELSLF 条件句 THEN 顺序语句; .....(这里可以有很多elsif语句) ELSE(最后一句是ELSE,要注意) 顺序语句; END IF; 第三种多用于多选择的控制,这里一定一定要注意是"**ELSIF**"而不是ELSEIF ---------- IF 条件句 THEN IF 条件句 THEN 顺序语句; END IF; END IF; 第四种是if语句嵌套使用,多用于多个情况组合判断,例如clk电路 ------------------------ IF 条件句 THEN 顺序语句; END IF; IF 条件句 THEN 顺序语句; END IF; 第五种是if语句并行执行

case语句也很常用

[标号:]CASE 多值表达式 IS WHEN 选择值 => 被赋值变量 被赋值变量 被赋值变量 被赋值变量


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3