【专业扫盲】集成电路领域的核心会议与期刊

您所在的位置:网站首页 ieee收录的会议怎么样 【专业扫盲】集成电路领域的核心会议与期刊

【专业扫盲】集成电路领域的核心会议与期刊

2024-07-11 01:14| 来源: 网络整理| 查看: 265

以下是我在日常学习中为了科普和扫盲寻找的关于集成电路领域的核心会议与期刊的汇总,科研小白恳请看到这篇博客的朋友们可以在评论区补充一下会议/期刊水平,比如几区之类更多信息。 欢迎补充,欢迎修正。 也希望有经验的朋友能指点一下如何从IEEE上搜索到几区。

1.会议

IEEE International Solid-State Circuits Conference,简称:ISSCC,国际固态电路会议 (顶会,每年仅有200篇左右)

IEEE International Electron Devices Meeting,简称:IEDM,国际电子器件会议

IEEE Symposia on VLSI Technology and Circuits,简称:VLSI,超大规模集成电路研讨会

European Solid-State Circuit Conference,简称:ESSCIRC,欧洲固态电路会议

IEEE Asian Solid-State Circuits Conference,简称:A-SSCC,亚洲固态电路会议

Hot Chips: A Symposium on High Performance Chips,简称:Hot Chips,高性能芯片研讨会

IEEE International Symposium on Circuits and Systems,简称:ISCAS,电路系统研讨会

IEEE/ACM International Symposium on Microarchitecture,简称:MICRO,微架构

International Symposium on Computer Architecture,简称:ISCA,计算机体系架构

International Symposium on High-Performance Computer Architecture,简称:HPCA,高性能计算机架构

International Conference on Architectural Support for Programming Languages and OperatingSystems,简称:ASPLOS,编程语言和操作系统的体系结构支持国际会议

Design Automation Conference,简称:DAC,设计自动化会议

IEEE International Symposium on Power Semiconductor Devices and ICs,简称:ISPSD,功率器件、电路研讨会

IEEE Radio Frequency Integrated Circuits Symposium,简称:RFIC,射频集成电路研讨会

IEEE Custom Integrated Circuits Conference,简称:CICC,集成电路会议

IEEE International Conference on Computer-aided Design ,简称:ICCAD,计算机辅助设计国际会议

ACM/IEEE International Symposium on Low Power Electronics and Design,简称:ISLPED,低功耗电子设计研讨会

Design, Automation and Test in Europe Conference and Exhibition,简称:DATE,欧洲设计、自动化、测试会议

2.期刊

IEEE Journal of Solid-State Circuits ,简称:JSSC (顶刊)

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,简称:TCAD

IEEE Transactions on Circuits and Systems I,简称:TCAS-I (一区)

IEEE Transactions on Circuits and Systems II,简称:TCAS-II (一区)

IEEE Transactions on Very Large Scale Integration ,简称:TVLSI

ELECTRONICS LETTERS,简称:EL

3.汇总:

电路设计的话, 会议: ISSCC > VLSIC > ESSCIRC/CICC/RFIC > ASSCC > ISCAS > 各种local CAS (APCCAS, MWSCAS等)

期刊:JSSC > SSC-L ~ TCAS-I ~ TPE ~ TBIOCAS > TCAS-II >TVLSI > EL …

4.EDA方向:

DAC(国际设计自动化会议,Design Automation Conference)、 ICCAD(国际计算机辅助设计会议,International Conference on Computer Aided Design)、 DATE(欧洲设计自动化与测试学术会议,Design, Automation and Test in Europe) ASP-DAC(亚太地区设计自动化会议,Asia and South Pacific Design Automation Conference) 一起被公认为电子设计自动化领域水平最高的四大国际会议。 DAC和ICCAD会议固定在美国举办,DATE欧洲举办,ASP-DAC在亚太举办



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3