【RAM IP】RAM IP核简介及实验

您所在的位置:网站首页 fpga的ip核各个类 【RAM IP】RAM IP核简介及实验

【RAM IP】RAM IP核简介及实验

2023-12-16 23:34| 来源: 网络整理| 查看: 265

RAM简介:

RAM(Random Access Memory),即随机存取存储器。它是双端口的,它可以随时把数据写入任一指定地址的存储单元,也可以随时从任一指定地址中读出数据,其读写速度是由时钟频率决定的。RAM主要用来存放程序及程序执行过程中产生的中间数据、运算结果等。

一片RAM中分为许多小格,每一片容量为36k,根据设定的位宽决定了这片RAM可以存放分为几格,位宽一啊不能设定为1、2、4、8、16、32等,每相邻的两片RAM可以合成一片RAM,这片RAM同样是双端口,可以独立的完成读写操作,且在读和写都可以配置位宽。

单端口:只有一个端口,读写数据不能同时进行,共用数据通道。伪双端口:拥有两个数据通道,一个用来写一个用来读。真双端口:拥有两个数据通道,两个通道都可以用来读或写。 建立工程:

1、打开vivado,选择add sources->add or creat a new sources名称为ip_ram->finish即可。

2、添加ram ip,ip catalog-> 搜索ram选择block memory generate(bram),双击打开配置界面,配置如下图,配置成单端口、写位宽和读位宽为8,写深度和读深度便会自动配置,operating mode既可以设置成write frist也可以no change,这个设置项的影响是在读和写数据时的优先级。

watermark,type_d3F5LXplbmhlaQ,shadow_50,text_Q1NETiBATGluZXN0LTU=,size_20,color_FFFFFF,t_70,g_se,x_16

 

watermark,type_d3F5LXplbmhlaQ,shadow_50,text_Q1NETiBATGluZXN0LTU=,size_20,color_FFFFFF,t_70,g_se,x_16

 

 

3、在添加一个文件,用于描述在读和写的过程,文件名取为ram_rw,代码如下:

module ram_rw( input clk, input rst, output reg ram_en, output reg rw, output reg [4:0] ram_addr, output reg [7:0] ram_wr_data ); reg [5:0] rw_cnt; always@(posedge clk or negedge rst)begin if(rst) ram_en


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3