EDA实验箱实验指导书

您所在的位置:网站首页 ep2c5q208c8引脚图 EDA实验箱实验指导书

EDA实验箱实验指导书

2023-05-24 12:31| 来源: 网络整理| 查看: 265

 

实验二

流水灯

1.

 

实验目的

 

通过本实验让学生进一步了解、

熟悉和掌握

CPLD/FPGA

开发软件的使用方法及

VHDL

语言的编程方法;学习简单的时序电路的设计和硬件测试。

 

2.

 

实验内容

 

本实验的内容是控制实验箱上的发光二极管

LED1

LED8

,使之实现流水灯显示。

 

3.

 

实验原理

 

LED1~LED8

引脚上周期性地输出流水数据,即输出的数据依次为

11111111

11111110

11111100

11111000

11110000

11100000

11000000

10000000

00000000

如此循环显示,输出数据“

0

,表示点亮相应的

LED

小灯。为了方便观察,流水的速率控

制在

2Hz

左右。在核心板上有一个

48MHz

的标准时钟源,该时钟源与芯片

EP2C5

23

相连。为了产生

2Hz

的时钟源,在此调用了分频模块

int_div

 

4.

 

实验步骤

 

(1)

 

启动

Quartus II

,建立一个空白工程,然后命名为

led_waterflow.qpf

 

(2)

 

新建

ledwater.vhd

源程序文件,源代码如下。然后进行综合编译。若在编译过程中

发现错误,则找出并更正错误,直到编译成功为止。生产符号文件

ledwater.bsf 

(

File→ 

Create

/_Update → Create Symbol Files for Current File)

 

流水灯程序参考

 

LIBRARY IEEE; 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

USE IEEE.STD_LOGIC_1164.ALL; 

 

 

 

USE IEEE.STD_LOGIC_Arith.ALL; 

USE IEEE.STD_LOGIC_Unsigned.ALL; 

ENTITY ledwater IS 

PORT( 

clk: 

IN 

 

STD_LOGIC; 

led: 

OUT STD_LOGIC_VECTOR(7 DOWNTO 0) 

);END; 

ARCHITECTURE one 

 

OF ledwater 

IS 

SIGNAL 

led_r:STD_LOGIC_VECTOR(8 DOWNTO 0); 

BEGIN 

led



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3