使用VCS 仿真后,通过DVE 观察波形,多维数据显示not load问题及解决方案

您所在的位置:网站首页 dev添加查看不显示 使用VCS 仿真后,通过DVE 观察波形,多维数据显示not load问题及解决方案

使用VCS 仿真后,通过DVE 观察波形,多维数据显示not load问题及解决方案

2024-07-16 07:39| 来源: 网络整理| 查看: 265

版权声明:本文为CSDN博主「Silent_Majority」的原创文章,遵循CC 4.0 by-sa版权协议,转载请附上原文出处链接及本声明。 原文链接:https://blog.csdn.net/Silent_Majority/article/details/72830191

 

使用VCS 仿真后,通过DVE 观察波形,多维数据显示not load问题及解决方案

问题描述:

 在用Verilog声明二位数组时,比如   reg [15:0] data [0:9],表明声明10个16位数据。

用VCS仿真生成vpd波形文件,然后用DVE查看这个信号时,显示not load,并没有数据信息。对modelsim仿真来说,并没有这个问题。

解决方案:

用 vcs -h 查看所有参数,发现如下

所以用vpd格式保存信号时,在vcs后多加个 +memcbk参数,并在testbench中加上 $vcdplusmemon系统命令,就可以dump查看多维数组

对vcd格式来说,需要在vcs后加上 +memcbk  +vcs+dumparrays 。

问题解决!



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3