使用matlab生成正弦波、爱心波以及单精度浮点数转二进制的coe文件(存储深度与数据位宽可调)

您所在的位置:网站首页 coe文件怎么写 使用matlab生成正弦波、爱心波以及单精度浮点数转二进制的coe文件(存储深度与数据位宽可调)

使用matlab生成正弦波、爱心波以及单精度浮点数转二进制的coe文件(存储深度与数据位宽可调)

2024-07-11 13:52| 来源: 网络整理| 查看: 265

使用matlab生成正弦波、爱心波以及单精度浮点数转二进制的coe文件(存储深度与数据位宽可调)

目录

前言

一、coe文件的格式

二、二进制数据的表示格式

三、正弦波

1.MATLAB代码

2.vivado代码

四、爱心波

1.MATLAB代码

 2.vivado代码

 五、单精度浮点数转二进制的coe数据的MATLAB代码

总结

前言

  某个周一晚上和队友搞那个正弦波的coe文件搞到了12点多,在网上也没找到合适的,于是下定决心自己写一个,在此记录一下,顺带发了个生成爱心波和浮点数的coe文件。本文用matlab生成正弦波、爱心波以及单精度浮点数转二进制的coe文件(存储深度与数据位宽可调)。

提示:以下是本篇文章正文内容,均为作者本人原创,写文章实属不易,希望对大家有帮助。

一、coe文件的格式

在ISE中,ROM或者RAM的IP核生成需要初始化文件。

格式如下:

memory_initialization_radix = 2; memory_initialization_vector = 111111011011, 111111100111, 111111110100;

        第一行代码告诉vivado我们的数据是以二进制形式存储于coe文件中的,这里可以改成其他任何你想写入的进制。

        第二行的等号后面就是存储的二进制数据。注意一下格式,前面的数据后面以逗号结尾,最后一个数据要用分号结尾。

二、二进制数据的表示格式

        其实写入coe文件的数据为了方便可以直接写整数,就不用考虑二进制的原码、反码、补码的转换,但由于我之前把浮点数写入coe文件的时候用的二进制格式,因为写浮点数到coe文件也只能二进制(浮点数如何用二进制表示此处就不赘述了),所以这个正弦波以及爱心波都是以二进制的格式写入到coe文件中的。

        由于是二进制数据,那么此处有必要复习一下二进制的表示方法,因为那个周一晚上和队友搞了很久没搞出来就是因为当时对这个格式有点陌生了,所以编程一直出了点bug。

        二进制有三种形式:原码、反码、补码。

        正数的原码、反码、补码均相同,所以不用过多纠结。就比如说+6以4位二进制数表示就为0_110,第一个0为符号位,符号位的规则是0正1负。那么+6的原码、反码、补码均为0_110。

        我们需要注意的是负数,负数的原码除了符号位其他与其对应的正数的原码一样,比如-6以4位二进制数表示,那么它的原码就为1_110。负数的反码是对原码除符号位进行取反,比如-6以4位二进制数表示,那么它的反码就为1_001。负数的补码是在反码的基础上加1,比如-6以4位二进制数表示,那么它的补码就为1_010。

        需要注意的是计算机中不管正数还是负数都是以补码的形式表示的,所以最终计算机中+6以4位二进制数表示就为0_110,-6以4位二进制数表示就为1_010。

三、正弦波 1.MATLAB代码 clear all; close all; depth=2^12; %存储器的深度 width=12; %width为二进制数据位宽 N=0:depth-1; y_write=zeros(depth,1); y=ceil((2^(width-1)-1)*sin(2*pi *N/depth)); %正弦波 plot(y,'m','LineWidth',2); fid=fopen('sin.coe','w'); fprintf(fid,'memory_initialization_radix=2;\n'); fprintf(fid,'memory_initialization_vector=\n'); for i=1:depth if (y(1,i)


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3