深入理解复位

您所在的位置:网站首页 ac68u复位 深入理解复位

深入理解复位

2024-07-11 02:08| 来源: 网络整理| 查看: 265

目录 前言同步复位异步复位异步复位同步释放多时钟域下异步复位同步释放总结Reference

前言

电路的任何一个寄存器、存储器结构和其他时序单元都必须附加复位逻辑电路,以保证电路能够从错误状态中恢复、可靠地工作。对于综合实现的真实电路,通过复位使电路进入初始状态或者其他预知状态。复位在数字IC设计中是不可缺少的一部分,故一定要清楚掌握深入理解复位的作用。

同步复位

先看一个简单的同步复位的D触发器,Verilog代码如下:

module Sync_rst ( input clk, input rst, // Synchronous reset input [7:0] d, output reg [7:0] q ); always@(posedge clk) begin if (!rst) q


【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3