移位寄存器74LS194的逻辑功能及使用方法

您所在的位置:网站首页 74ls151逻辑功能图 移位寄存器74LS194的逻辑功能及使用方法

移位寄存器74LS194的逻辑功能及使用方法

2024-01-26 20:58| 来源: 网络整理| 查看: 265

实验七移位寄存器及其应用

一、实验目的

1.移位寄存器74LS194的逻辑功能及使用方法;

2.熟悉4位移位寄存器的应用。

二、实验预习要求

1.了解74LS194的逻辑功能;

2.用4位移位寄存器构成8位移位寄存器;

3.了解移位寄存器构成环形计数器的方法。

三、实验原理

1. 移位寄存器是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。74 LS194是一个4位双向移位寄存器,最高时钟脉冲为36MHz,其逻辑符号及引脚排列如图实验7.1所示。

图实验7.1 74 LS194逻辑符号及引脚排列

其中:D0~D1为并行输入端;Q0~Q3为并行输出端;SR-右移串引输入端;SL-左移串引输入端;S1、S0-操作模式控制端;/CR-为直接无条件清零端;CP-为时钟脉冲输入端。74LS194模式控制及状态输出如表实验7.1所示。

2. 用74LS194构成8位移位寄存器

电路如图实验7.2所示,将芯片(1)的Q3接至芯片(2)的SR,将芯片(2)的Q4接至芯片(1)的SL,即可构成8位的移位寄存器。注意:/CR端必须正确连接。

3. 74LS194构成环形计数器

把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图实验7.3所示。设初态为Q3Q2Q1Q0=1000,则在CP作用下,模式设为右移,输出状态依次为:

表实验7.1 74LS194工作状态表

 

实验七移位寄存器及其应用

一、实验目的

1.移位寄存器74LS194的逻辑功能及使用方法;

2.熟悉4位移位寄存器的应用。

二、实验预习要求

1.了解74LS194的逻辑功能;

2.用4位移位寄存器构成8位移位寄存器;

3.了解移位寄存器构成环形计数器的方法。

三、实验原理

1. 移位寄存器是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。74 LS194是一个4位双向移位寄存器,最高时钟脉冲为36MHz,其逻辑符号及引脚排列如图实验7.1所示。

图实验7.1 74 LS194逻辑符号及引脚排列

其中:D0~D1为并行输入端;Q0~Q3为并行输出端;SR-右移串引输入端;SL-左移串引输入端;S1、S0-操作模式控制端;/CR-为直接无条件清零端;CP-为时钟脉冲输入端。74LS194模式控制及状态输出如表实验7.1所示。

2. 用74LS194构成8位移位寄存器

电路如图实验7.2所示,将芯片(1)的Q3接至芯片(2)的SR,将芯片(2)的Q4接至芯片(1)的SL,即可构成8位的移位寄存器。注意:/CR端必须正确连接。

3. 74LS194构成环形计数器

把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图实验7.3所示。设初态为Q3Q2Q1Q0=1000,则在CP作用下,模式设为右移,输出状态依次为:

表实验7.1 74LS194工作状态表

 

 

 

数字逻辑(第二版)实习

2. 用74LS194构成8位移位寄存器

电路如图实验7.2所示,将芯片(1)的Q3接至芯片(2)的SR,将芯片(2)的Q4接至芯片(1)的SL,即可构成8位的移位寄存器。注意:/CR端必须正确连接。

 

图实验7.2 8位移位寄存器

3. 74LS194构成环形计数器

把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图实验7.3所示。设初态为Q3Q2Q1Q0=1000,则在CP作用下,模式设为右移,输出状态依次为:

 

图实验7.3 环形计数器

图实验7.3电路是一个有四个有效状态的计数器,这种类型计数器通常称为环形计数器。同时输出端输出脉冲在时间上有先后顺序,因此也可以作为顺序脉冲发生器。

四、实验仪器设备

1.TPE-AD数字实验箱 1台

2.四位双向移位寄存器74LS194 2片

3. 四两输入集成与非门74LS00 1片

五、实验内容及方法

1. 测试74LS194(或CC40194)的逻辑功能

参图实验7.1接线,/CR 、S1、S0、SL、SR、D3、D2、D1、D0

 

数字逻辑(第二版)实习

2. 用74LS194构成8位移位寄存器

电路如图实验7.2所示,将芯片(1)的Q3接至芯片(2)的SR,将芯片(2)的Q4接至芯片(1)的SL,即可构成8位的移位寄存器。注意:/CR端必须正确连接。

 

图实验7.2 8位移位寄存器

3. 74LS194构成环形计数器

把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图实验7.3所示。设初态为Q3Q2Q1Q0=1000,则在CP作用下,模式设为右移,输出状态依次为:

 

图实验7.3 环形计数器

图实验7.3电路是一个有四个有效状态的计数器,这种类型计数器通常称为环形计数器。同时输出端输出脉冲在时间上有先后顺序,因此也可以作为顺序脉冲发生器。

四、实验仪器设备

1.TPE-AD数字实验箱 1台

2.四位双向移位寄存器74LS194 2片

3. 四两输入集成与非门74LS00 1片

五、实验内容及方法

1. 测试74LS194(或CC40194)的逻辑功能

参图实验7.1接线,/CR 、S1、S0、SL、SR、D3、D2、D1、D0

 

数字逻辑(第二版)实习

3.环型计数器

(1)参照图实验7.3连接电路, Q0~Q3用LED显示;

(2)参照实验内容2进行,观察输出状态的变化情况。

电路简单,N位移位寄存器可以计N个数,实现模N计数器。状态为1的输出端的序号等于计数脉冲的个数,通常不需要译码电路。

缺点:状态利用率低,无效循环多。

六、实验报告

1.总结74LS194的逻辑功能;

双向移位寄存器74LS194具有左移、右移、保持、复位和置数等功能,通过对S1和S0的设置可实现不同功能。D0、D1、D2和D3是数据输入端主要用于置数使用,可接至VCC或GND实现不同的二进制组合;DSR和DSL分别是右移和左移的数据输入端,也可接至VCC或GND输入1或0;Q0、Q1、Q2和Q3接发光小灯泡观察其输出情况。

 

 

数字逻辑(第二版)实习

2.画出相应的电路图,画出环型计数器的输出波形图。

 

 

 



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3