数字电路

您所在的位置:网站首页 74HC151大月份检测电路 数字电路

数字电路

2024-06-07 07:29| 来源: 网络整理| 查看: 265

1、福建农林大学金山学院课程设计报告课程名称:数字电子技术课程设计课程设计题目:血型匹配检测器的设计姓 名:专 业:电气工程及其自动化年 级:2013级学 号: 指导教师:张振敏职 称:讲师2015年7月3日1、 实验目的人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合一定的授受关系。设计这个逻辑电路,判断输血者与受血者的血型是否符合上述规定。2、 软件介绍仿真软件Multisim是一个专门用于电子电路仿真与设计的EDA工具软件。作为 Windows下运行的个人桌面电子设计工具, Multisim是一个完整的集成化设计环境。Multisim计算机仿真与虚拟仪器技术可以很好地

2、解决理论教学与实际动手实验相脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。Multisim软件绝对是电子学教学的首选软件工具。 特点:直观的图形界面;丰富的元器件;强大的仿真能力;丰富的测试仪器;完备的分析手段;独特的射频(RF)模块;强大的MCU模块;完善的后处理;详细的报告;兼容性好的信息转换。3、 设计过程人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合图示中用箭头指示的授受关系。先用AB代表输血者的血型(00为A型血、01为B型血、10为AB型血、II为0型血),CD代表受血者的血

3、型(00为A型血、01为B型血、10为AB型血、II为0型血),Y为输出(0为不匹配、1为匹配),那么可以列出输血、受血血型是否匹配。血型匹配真值表:ABCDY00001000100010100110010000101101101011101000010010101011011011001110111110111111根据真值表可以得到逻辑函数表达式为:Y=ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD化简,得:Y=BCD+ABD+AD+BC数据选择器可以根据地址输入端的二进制信号,对输入端信号进行选择。八选一数据选择器74HC151是集成的有三个地址

4、输入端A2、A1、A0,八个数据输入端D0D7的中规模组合逻辑电路。74HC151数据选择器的功能可以用逻辑函数表示为: 根据公式:Y=ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD将AA2、BA1、CA0,因此逻辑式可以表示为: Y=m0D + m1D + m2D + m3D + m5D十m6+m7故:D0=D1= D3=D5=D, D2 = D, D4=0,D6=D7=14、 仿真电路的搭建 根据上面的公式,这样只要将数据选择器的输入端进行适当的设置便可以实现电路功能。运行程序,找出74HC151、74HC04D-4V、VDD和接地符号,并连接电路

5、。电路图如下:5、 电路仿真结果和分析图中G为控制端,低电平有效,将选择信号A. B. C(即A2.、A1.、A0)分别接 式中的前三个变量,将表达式中的第四个变量接到数据选择器的输入端,具体如上,这样在数据选择的输出端Y端就可以得到血型匹配的结果了。用Multisim来验证逻辑功能,经过逻辑转换功能,可以得到与图2一样的逻辑真值表(如下图),可见用数据选择器也能够实现血型匹配器的功能。通过逻辑转化器的窗口,可以得到逻辑表达式和逻辑表达式的化简式:分析: 如上所述,运用Multisim可以很方便地进行数字电路的设计,基本逻辑门电路和数据选择器均可以很好地实现血型匹配器,经Multisim中的逻辑转换器验证,两种方法的最终逻辑功能相同,而用数据选择器能更加简洁地完成电路功能。通过设计实例



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3