用quartes 仿真74138译码器

您所在的位置:网站首页 74161译码器 用quartes 仿真74138译码器

用quartes 仿真74138译码器

2024-05-29 01:04| 来源: 网络整理| 查看: 265

第一次写博客  

第一次FPGA仿真

废话不多说,直接开始。

安装完之后有两个,一个32,一个64,当初觉得64比较好,选了64

新建第一个工程

file ---new project--文件路径,工程名字,什么插件,选芯片,finish   结束了

新建文件

 

然后就是很多网格,点两下,出来往里面添加什么东西,

在这个目录下找到74138,然后ok,选择一个区域放74138.。然后添加INPUT和output,还是双击,

目录下找到input和output。点ok,

有个这个东西,英语比较差,也没注意,不知道啥意思,后来网上突然看到有人点了,后来我试了一次,原来是可以出来好多一样的,直到你不想要了。所以第一次就是重复双击,ok,双击,ok好几次。

然后就是这个样子。在框框外侧都可以有连线。完成这个就需要编译了,这个编译有好几种编译,有全编译,还有分步编译。

然后就是等待编译成功,第一次出现了一个错误,说的好像是这个芯片不知道47138,上网查又说是没有完全破解,后来打算换个芯片,突然想起有一个32位的,然后我就用32位的试了一下,果然可以,哈哈哈哈哈哈哈哈哈哈,非常的开兴。

接下来就是最重要的仿真了,

file

  

Vector Waveform File

点左边两下,出来这个

 

Node Finder

点list,

出来端口,把端口都添加进去。

设置信号,G1高电平,G2两个都是低电平,然后设置ABC。

结束了前面的,就开始让它自动出波形了。

首先添加仿真文件

步骤: Assignment——Settings——

添加文件,文件名就行,然后点ok。再点processing——Start Simulation 然后问你保存,保存就ok,然后就出来啦

然后就美滋滋

 

ABD                                                       01234567

000                                                  LHHHHHHH

   满足

111                                                    HHHHHHHL 

满足

001                       HLHHHHHH

  满足

这个是ABC都为随机信号。

  

用quartus仿真74138结束。



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3